Accéder directement au contenu

Bogdan Cretu

87
Documents

Publications

Novel Y-function based strategy for parameter extraction in S/D asymmetric architecture devices and low frequency noise characterization in GAA Si VNW pMOSFETs

A. Tahiat , B. Cretu , A. Veloso , E. Simoen
Solid-State Electronics, 2023, 209, pp.108709. ⟨10.1016/j.sse.2023.108709⟩
Article dans une revue hal-04335891v1

(Invited) In-Depth Understanding of the Key Contributors to the Total Flicker Noise in Advanced Logic Devices

Bogdan Cretu , Abderrahim Tahiat , Anabela Veloso , Eddy Simoen
ECS Transactions, 2023, 112 (1), pp.53-63. ⟨10.1149/11201.0053ecst⟩
Article dans une revue hal-04335869v1

Refined DC and Low-Frequency Noise Characterization at Room and Cryogenic Temperatures of Vertically Stacked Silicon Nanosheet FETs

Bogdan Cretu , Anabela Veloso , Eddy Simoen
IEEE Transactions on Electron Devices, 2023, 70 (1), pp.254-260. ⟨10.1109/TED.2022.3225248⟩
Article dans une revue hal-04335878v1

(Invited) In-Depth DC and Low Frequency Noise Characterization of Nanosheet FETs at Room and Cryogenic Temperatures

Bogdan Cretu , Abderrahim Tahiat , Anabela Veloso , Eddy Simoen
ECS Transactions, 2023, 111 (1), pp.197-208. ⟨10.1149/11101.0197ecst⟩
Article dans une revue hal-04335860v1

In-depth static and low frequency noise assessment of p-channel gate-all-around vertically stacked silicon nanosheets

B. Cretu , A. Veloso , E. Simoen
Solid-State Electronics, 2023, 201, pp.108591. ⟨10.1016/j.sse.2023.108591⟩
Article dans une revue hal-04335886v1

Is there a limit when the access resistance impact on the extraction of key GAA NS FETs devices parameters can (not) be avoided?

A. Tahiat , B. Cretu , A. Veloso , E. Simoen
Solid-State Electronics, 2023, 209, pp.108711. ⟨10.1016/j.sse.2023.108711⟩
Article dans une revue hal-04335903v1

Performance Perspective of Gate-All-Around Double Nanosheet CMOS Beyond High-Speed Logic Applications

Eddy Simoen , Carlos H.S. Coelho , Vanessa C.P. Da Silva , João Martino , Paula Ghedini Der Agopian
Journal of Integrated Circuits and Systems, 2022, 17 (2), pp.1-9. ⟨10.29292/jics.v17i2.617⟩
Article dans une revue hal-03852430v1

DC and low a frequency noise analysis of p channel gate all around vertically stacked silicon nanosheets

B. Cretu , Anabela Veloso , Eddy Roger Simoen
Solid-State Electronics, 2022, 194, pp.108360. ⟨10.1016/j.sse.2022.108360⟩
Article dans une revue hal-03784465v1

Si GAA NW FETs threshold voltage evaluation

Dragos Dobrescu , Bogdan Cretu , Eddy Simoen , Anabela Veloso , Andrei Voicu-Spineanu
Solid-State Electronics, 2022, 194, pp.108317. ⟨10.1016/j.sse.2022.108317⟩
Article dans une revue hal-03784467v1

(Invited) Innovations in Transistor Architecture and Device Connectivity Options for Advanced Logic Scaling

Anabela Veloso , Geert Eneman , Eddy Simoen , Bogdan Cretu , An de Keersgieter
ECS Transactions, 2022, 108 (2), pp.31-42. ⟨10.1149/10802.0031ecst⟩
Article dans une revue hal-03784469v1
Image document

Detailed low frequency noise assessment on GAA NW n-channel FETs

B. Cretu , A. Bordin , E. Simoen , G. Hellings , D. Linten
Solid-State Electronics, 2021, 181-182, pp.108029. ⟨10.1016/j.sse.2021.108029⟩
Article dans une revue hal-03784462v1

Discussion on the Figures of Merit of Identified Traps Located in the Si Film: Surface Versus Volume Trap Densities

B Cretu , Beya Nafaa , Eddy Simoen , Geert Hellings , Dimitri Linten
ECS Transactions, 2020, 97 (5), pp.45-51. ⟨10.1149/09705.0045ecst⟩
Article dans une revue hal-02939114v1
Image document

Improved physics-based analysis to discriminate the flicker noise origin at very low temperature and drain voltage polarization

B Cretu , D. Boudier , E. Simoen , A. Veloso , N. Collaert
Solid-State Electronics, 2020, pp.107771. ⟨10.1016/j.sse.2020.107771⟩
Article dans une revue hal-02475438v1
Image document

Low frequency noise analysis on Si/SiGe superlattice I/O n-channel FinFETs

D. Boudier , B Cretu , E. Simoen , G. Hellings , T. Schram
Solid-State Electronics, 2019, pp.107732. ⟨10.1016/j.sse.2019.107732⟩
Article dans une revue hal-02438740v1

Low-frequency noise measurements at liquid helium temperature operation in ultra-thin buried oxide transistors – Physical interpretation of transport phenomena

B. Nafaa , B Cretu , N. Ismail , O. Touayar , R. Carin
Solid-State Electronics, 2018, 150, pp.1-7. ⟨10.1016/j.sse.2018.08.010⟩
Article dans une revue hal-02438735v1

Detailed characterisation of Si Gate-All-Around Nanowire MOSFETs at cryogenic temperatures

D. Boudier , B Cretu , E. Simoen , A. Veloso , N. Collaert
Solid-State Electronics, 2018, 143, pp.27-32. ⟨10.1016/j.sse.2018.02.015⟩
Article dans une revue hal-02438730v1

Low frequency noise assessment in n- and p-channel sub-10nm triple-gate FinFETs: Part I: Theory and methodology

D. Boudier , B. Cretu , E. Simoen , Régis Carin , A. Veloso
Solid-State Electronics, 2017, 128, pp.102 - 108. ⟨10.1016/j.sse.2016.10.012⟩
Article dans une revue hal-01712351v1

Low frequency noise assessment in n- and p-channel sub-10nm triple-gate FinFETs: Part II: Measurements and results

D. Boudier , B. Cretu , E. Simoen , Régis Carin , A. Veloso
Solid-State Electronics, 2017, 128, pp.109 - 114. ⟨10.1016/j.sse.2016.10.013⟩
Article dans une revue hal-01712353v1

Low-Frequency Noise Analysis and Modeling in Vertical Tunnel FETs With Ge Source

Felipe Neves , Paula Agopian , Joao Antonio Martino , Bogdan Cretu , Rita Rooyackers
IEEE Transactions on Electron Devices, 2016, 63 (4), pp.1658 - 1665. ⟨10.1109/TED.2016.2533360⟩
Article dans une revue hal-01712409v1
Image document

Low-frequency noise spectroscopy of bulk and border traps in nanoscale devices

E. Simoen , Bogdan Cretu , W Fang , M. Aoulaiche , Jean-Marc Routoure
Solid State Phenomena, 2016, 242, pp.449. ⟨10.4028/www.scientific.net/SSP.242.449⟩
Article dans une revue hal-01196556v1

(Invited) Generation-Recombination Noise in Advanced CMOS Devices

E. Simoen , A. Oliveira , D. Boudier , J. Mitard , L. Witters
ECS Transactions, 2016, 75 (5), pp.111 - 120. ⟨10.1149/07505.0111ecst⟩
Article dans une revue hal-01712452v1

Assessment of DC and low-frequency noise performances of triple-gate FinFETs at cryogenic temperatures

B Cretu , D Boudier , E. Simoen , A Veloso , N. Collaert
Semiconductor Science and Technology, 2016, 31 (12), pp.124006. ⟨10.1088/0268-1242/31/12/124006⟩
Article dans une revue hal-01712415v1

Towards single-trap spectroscopy : Generation-recombination noise in UTBOX SOI nMOSFETs

Eddy Simoen , Bogdan Cretu , Wen Fang , M. Aoulaiche , Jean-Marc Routoure
physica status solidi (c), 2015, 7 p. ⟨10.1002/pssc.201400075⟩
Article dans une revue hal-01196247v1
Image document

Identification of Si film traps in p-channel SOI FinFETs using low temperature noise spectroscopy

Hakim Hachour , Bogdan Cretu , Jean-Marc Routoure , Régis Carin , A. Benfdila
Solid-State Electronics, 2015, 112, pp.1-6. ⟨10.1016/j.sse.2015.02.014⟩
Article dans une revue hal-01196272v1
Image document

In depth static and low-frequency noise characterization of n-channel FinFETs on SOI substrates at cryogenic temperature

H. Achour , Bogdan Cretu , Jean-Marc Routoure , Régis Carin , Rachida Talmat
Solid-State Electronics, 2014, 8 p
Article dans une revue hal-00994380v1
Image document

Low-frequency noise assessment in advanced UTBOX SOI nMOSFETs with different gate dielectrics

S.D. dos Santos , Bogdan Cretu , V. Strobel , Jean-Marc Routoure , Régis Carin
Solid-State Electronics, 2014, 9 p. ⟨10.1016/j.sse.2014.04.034⟩
Article dans une revue hal-00994378v1
Image document

DC and low frequency noise performances of SOI p-FinFETs at very low temperature

H. Achour , Rachida Talmat , Bogdan Cretu , Jean-Marc Routoure , A. Benfdila
Solid-State Electronics, 2013, 90, pp.160-165. ⟨10.1016/j.sse.2013.06.006⟩
Article dans une revue hal-00994180v1

Low-Frequency Noise in High-K and SiO 2 UTBOX SOI nMOSFETS

S. dos Santos , J. Martino , Vincent Strobel , Bogdan Cretu , Jean-Marc Routoure
ECS Transactions, 2013, 52 (1), pp.87-92. ⟨10.1149/05201.0087ecst⟩
Article dans une revue hal-03784649v1
Image document

Lessons Learned from Low-Frequency Noise Studies on Fully Depleted UTBOX Silicon-on-Insulator nMOSFETs

E. Simoen , M. Aoulaiche , S.D. dos Santos , J.A. Martino , V. Strobel
ECS Journal of Solid State Science and Technology, 2013, pp.q205-q210
Article dans une revue hal-00994168v1
Image document

Low-frequency noise studies on fully depleted UTBOX silicon-on-insulator nMOSFETs: challenges and opportunities

Eddy Simoen , M. Aoulaiche , S.D. dos Santos , J.A. Martino , V. Strobel
ECS Journal of Solid State Science and Technology, 2013, pp.q205-q210. ⟨10.1149/2.011311jss⟩
Article dans une revue hal-00994293v1
Image document

Low frequency noise characterization in n-channel FinFETs

Rachida Talmat , H. Achour , Bogdan Cretu , Jean-Marc Routoure , A. Benfdila
Solid-State Electronics, 2012, p20-26
Article dans une revue hal-00994184v1
Image document

Improvement in the determination by 1/f noise measurements of the interface state distribution in polysilicon TFTs in relation with the compensation law of Meyer Neldel

Laurent Pichon , Abdelmalek Boukhenoufa , Bogdan Cretu , Regis Rogel
Journal of Applied Physics, 2009, 105, pp.104503. ⟨10.1063/1.3126706⟩
Article dans une revue hal-00387394v1
Image document

THERMAL DEPENDENCE OF LOW-FREQUENCY NOISE IN POLYSILICON THIN FILM TRANSISTORS

Laurent Pichon , Bogdan Cretu , Abdelmalek Boukhenoufa
Thin Solid Films, 2009, 517, pp.6367. ⟨10.1016/j.tsf.2009.02.055⟩
Article dans une revue hal-00522575v1

Impact of strain and source/drain engineering on the low frequency noise behaviour in n-channel tri-gate FinFETs

W. Guo , B. Cretu , J.-M. Routoure , R. Carin , E. Simoen
Solid-State Electronics, 2008, 52 (12), pp.1889-1894. ⟨10.1016/j.sse.2008.06.055⟩
Article dans une revue hal-03784657v1

Numerical simulation of low frequency noise in polysilicon thin film transistors

Laurent Pichon , Abdelmalek Boukhenoufa , Christophe Cordier , Bogdan Cretu
IEEE Electron Device Letters, 2007, 28 (8), pp.716-718
Article dans une revue hal-00198456v1

Temperature impact on the Lorentzian noise induced by electron valence-band tunneling in partially depleted SOI p-MOSFETs

W. Guo , B. Cretu , J.-M. Routoure , R. Carin , E. Simoen
Solid-State Electronics, 2007, 51 (9), pp.1180-1184. ⟨10.1016/j.sse.2007.07.033⟩
Article dans une revue hal-03784679v1
Image document

Numerical Simulation of Low-Frequency Noise in Polysilicon Thin-Film Transistors

Laurent Pichon , Abdelmalek Boukhenoufa , Christophe Cordier , Bogdan Cretu
IEEE Electron Device Letters, 2007, 28 (8), pp.716. ⟨10.1109/LED.2007.900849⟩
Article dans une revue hal-00171712v1

Low temperature noise spectroscopy of 0.1 μm partially depleted silicon on insulator metal-oxide-semiconductor field effect transistors

I. Lartigau , J. Routoure , W. Guo , B. Cretu , R. Carin
Journal of Applied Physics, 2007, 101 (10), pp.104511. ⟨10.1063/1.2732685⟩
Article dans une revue hal-03784674v1

Numerical simulation of conduction and low frequency noise in polysilicon thin film transistors

Laurent Pichon , Abdelmalek Boukhenoufa , Christophe Cordier , Bogdan Cretu
IEEE Electron Device Letters, 2007, 28, pp.719-718
Article dans une revue hal-00197122v1
Image document

Numerical simulation of conduction and low-frequency noise in polysilicon thin film transistors

Abdelmalek Boukhenoufa , Christophe Cordier , Laurent Pichon , Bogdan Cretu
Thin Solid Films, 2007, 515, pp.7556. ⟨10.1016/j.tsf.2006.11.162⟩
Article dans une revue hal-00171471v1

Numerical simulations of conduction and low-frequency noise in polysilicon TFTs

Abdelmalek Boukhenoufa , Christophe Cordier , Laurent Pichon , Bogdan Cretu
Thin Solid Films, 2007, pp.7556-7559
Article dans une revue hal-00259046v1

Low-Frequency Noise Assessment of Silicon Passivated Ge pMOSFETs With TiN/TaN/ $\hbox{HfO}_{2}$ Gate Stack

W. Guo , G. Nicholas , B. Kaczer , R. Todi , B. de Jaeger
IEEE Electron Device Letters, 2007, 28 (4), pp.288-291. ⟨10.1109/LED.2007.891797⟩
Article dans une revue hal-03784663v1

Determination of interface state distribution in polysilicon thin film transistors from low frequency -noise measurements: application to analysis of electrical properties

Laurent Pichon , Abdelmalek Boukhenoufa , Christophe Cordier , Bogdan Cretu
Journal of Applied Physics, 2006, 100 (5), pp.54504-54509
Article dans une revue hal-00437321v1

Low temperature investigation of n-channel GAA vertically stacked silicon nanosheets

Bogdan Cretu , Anabela Veloso , Eddy Simoen
2021 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EuroSOI-ULIS), Sep 2021, Caen, France. pp.1-4, ⟨10.1109/EuroSOI-ULIS53016.2021.9560692⟩
Communication dans un congrès hal-03805530v1

Trap identification on n-channel GAA NW FETs

A. Bordin , B. Cretu , R. Carin , E. Simoen , G. Hellings
2020 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Sep 2020, Caen, France. pp.1-4, ⟨10.1109/EUROSOI-ULIS49407.2020.9365281⟩
Communication dans un congrès hal-03784473v1

Discussion on the Figures of Merit of Identified Traps Located in the Si Film: Surface Versus Volume Trap Densities

B Cretu , Beya Nafaa , Eddy Simoen , Geert Hellings , Dimitri Linten
ECS MEETING - annulé, May 2020, Montreal, France. pp.1372-1372, ⟨10.1149/MA2020-01241372mtgabs⟩
Communication dans un congrès hal-02934726v1

Low frequency noise analysis on Si/SiGe superlattice I/O n-channel FinFETs

D. Boudier , B Cretu , E. Simoen , G. Hellings , T. Schram
2019 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2019, Grenoble, France. pp.1-4, ⟨10.1109/EUROSOI-ULIS45800.2019.9041918⟩
Communication dans un congrès hal-02935054v1

Low frequency noise analysis on Si/SiGe superlattice I/O n-channel FinFETs

B Cretu , Dimitri Boudier , Eddy Simoen , Geert Hellings , T. Schram
Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2019, Grenoble, France
Communication dans un congrès hal-02438777v1

Discussion of the flicker noise origin at very low temperature and polarisation operation

Dimitri Boudier , B Cretu , Eddy Simoen , Anabela Veloso , Cor Claeys
International Conference on Noise and Fluctuations (ICNF), Jun 2019, Neuchâtel, Switzerland. ⟨10.5075/epfl-ICLAB-ICNF-269233⟩
Communication dans un congrès hal-02438767v1

Processing Impact on the Low-Frequency Noise of 1.8 V Input-Output Bulk FinFETs

Cor Claeys , Geert Hellings , Hiroaki Arimura , Bertrand Parvais , Lars-Åke Ragnarsson
International Conference on Noise and Fluctuations (ICNF), Jun 2019, Neuchâtel,, Switzerland
Communication dans un congrès hal-02438772v1

Discussion on the 1/f noise behavior in Si gate-all-around nanowire MOSFETs at liquid helium temperatures

D. Boudier , B Cretu , E. Simoen , A. Veloso , N. Collaert
2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Mar 2018, Granada, France. pp.1-4, ⟨10.1109/ULIS.2018.8354739⟩
Communication dans un congrès hal-02438753v1

Discussion on the 1/f noise behavior in Si gate-all-around nanowire MOSFETs at liquid helium temperatures

D. Boudier , B Cretu , E. Simoen , A. Veloso , N. Collaert
2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Mar 2018, Granada, France. pp.1-4, ⟨10.1109/ULIS.2018.8354739⟩
Communication dans un congrès hal-02877233v1

Identification of stable irradiation-induced defects using low frequency noise spectroscopy

Rosine Coq Germanicus , B Cretu , A.D. D Touboul , C. Grygiel , Francoise Bezerra
2018 18th European Conference on Radiation and Its Effects on Components and Systems (RADECS), Sep 2018, Göteborg, Sweden. pp.1-4, ⟨10.1109/RADECS45761.2018.9328657⟩
Communication dans un congrès hal-02475434v1

Si/SiGe superlattice I/O finFETs in a vertically-stacked Gate-All-Around horizontal Nanowire Technology

G. Hellings , H. Mertens , A. Subirats , E. Simoen , T. Schram
2018 IEEE Symposium on VLSI Technology, Jun 2018, Honolulu, United States. pp.85-86, ⟨10.1109/VLSIT.2018.8510654⟩
Communication dans un congrès hal-02438750v1

On trap identification in triple-gate FinFETs and Gate-All-Around nanowire MOSFETs using low frequency noise spectroscopy

D. Boudier , B. Cretu , E. Simoen , A. Veloso , N. Collaert
2017 International Conference on Noise and Fluctuations (ICNF), Jun 2017, Vilnius, France. ⟨10.1109/ICNF.2017.7985990⟩
Communication dans un congrès hal-01712376v1

On quantum effects and low frequency noise spectroscopy in Si Gate-All-Around Nanowire MOSFETs at cryogenic temperatures

D. Boudier , B. Cretu , E. Simoen , A. Veloso , N. Collaert
2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2017, Athens, France. ⟨10.1109/ULIS.2017.7962578⟩
Communication dans un congrès hal-01712370v1

Impact of channel orientation on low frequency noise performances of UTBOX nMOSFETs operated at liquid nitrogen temperature

B. Nafaa , B. Cretu , N. Ismail , O. Touayar , E. Simoen
2017 International Conference on Noise and Fluctuations (ICNF), Jun 2017, Vilnius, France. ⟨10.1109/ICNF.2017.7985964⟩
Communication dans un congrès hal-01712399v1

Impact of cryogenic temperature operation on static and low frequency noise behaviors of FD UTBOX nMOSFETs

B. Nafaa , B. Cretu , N. Ismail , O. Touayar , E. Simoen
2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2017, Athens, France. ⟨10.1109/ULIS.2017.7962610⟩
Communication dans un congrès hal-01712398v1

Static and low frequency noise characterization of n-channel 16 nm UTBOX devices

B. Nafaa , O. Touayar , B. Cretu , E. Simoen
2016 7th International Conference on Sciences of Electronics, Technologies of Information and Telecommunications (SETIT), Dec 2016, Hammamet, France. ⟨10.1109/SETIT.2016.7939833⟩
Communication dans un congrès hal-01712397v1

Low frequency noise assessment in n- and p-channel sub-10 nm triple-gate FinFETs

Dimitri Boudier , B Cretu , Eddy Simoen , Régis Carin , Anabela Veloso
Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2016, Vienna, Austria
Communication dans un congrès hal-02877229v1

The Smaller the Noisier? Low Frequency Noise Diagnostics of Advanced Semiconductor Devices

Cor Claeys , E. Simoen , P.G.D Agopian , J.A. Martino , M. Aoulaiche
30th Symp on Microelectronics Technology and Device, Aug 2015, Salvador Bahia, Brazil
Communication dans un congrès hal-01196563v1

Low frequency noise spectroscopy in rotated UTBOX nMOSFETs

Bogdan Cretu , E. Simoen , Jean-Marc Routoure , Régis Carin , M. Aoulaiche
ICNF’2015 (International Conference on Noise and Fluctuations), Jun 2015, Xi’An, China. ⟨10.1109/ICNF.2015.7288588⟩
Communication dans un congrès hal-01196552v1

Study of Low Frequency Noise in Vertical NW-Tunnel FETs with Different Source Compositions

F. Neves , P.G.D Agopian , J.A. Martino , Bogdan Cretu , A. Vandooren
EUROSOI-ULIS’2015 Conference, Jan 2015, Bologne, Italy
Communication dans un congrès hal-01196306v1

Static and low frequency noise characterization in standard and rotated UTBOX nMOSFETs

Bogdan Cretu , E. Simoen , Jean-Marc Routoure , Régis Carin , M. Aoulaiche
EUROSOI-ULIS’2015 Conference, Jan 2015, Bologne, Italy
Communication dans un congrès hal-01196423v1

Transconductance hump in vertical gate-all-around tunnel-FETs

F. Neves , P. Agopian , A. Martino , B. Cretu , A. Vandooren
2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2015, Rohnert Park, France. ⟨10.1109/S3S.2015.7333523⟩
Communication dans un congrès hal-01712407v1
Image document

Low temperature noise spectroscopy of p-channel SOI FinFETs

H. Achour , Bogdan Cretu , E. Simoen , Jean-Marc Routoure , Régis Carin
10th Workshop of the Thematic Network on SOI Technology, Devices and Circuits, Jan 2014, tarragona, Spain. 2 p. in USB Key
Communication dans un congrès hal-00994397v1
Image document

Performances under saturation operation of p channel FinFETs on SOI substrates at cryogenic temperature

Hakim Hachour , Bogdan Cretu , Jean-Marc Routoure , Régis Carin , A. Benfdila
IEEE/CAS’2014, 2014, Sinaia, Romania. pp181-184, ⟨10.1109/SMICND.2014.6966429⟩
Communication dans un congrès hal-01196289v1
Image document

Low frequency noise characterization in n-channel UTBOX devices with 6 nm Si film

Bogdan Cretu , Eddy Simoen , Jean-Marc Routoure , Régis Carin , M. Aoulaiche
The International Conference on Noise and Fluctuations (ICNF), 2013, Montpellier, France. 4 p
Communication dans un congrès hal-00994170v1
Image document

Low-frequency noise for different gate dielectrics on state-of-the-art UTBOX SOI nMOSFETs

S.D. dos Santos , E. Simoen , V. Strobel , Bogdan Cretu , Jean-Marc Routoure
9th Workshop of the Thematic Network on SOI Technology, Devices and Circuits, Jan 2013, Paris, France. 2 p. (CDROM)
Communication dans un congrès hal-00994159v1

Approche projet pour l'enseignement de l'électronique analogique en 2ème année d'école d'ingénieur

Matthieu Denoual , Bogdan Cretu , Chantal Gunther
CETSIS 2013, Mar 2013, caen, France
Communication dans un congrès hal-00981539v1
Image document

Low frequency noise assessment in advanced UTBOX SOI n-channel MOSFETs

V. Strobel , Bogdan Cretu , S.D. dos Santos , E. Simoen , Jean-Marc Routoure
9th Workshop of the Thematic Network on SOI Technology, Devices and Circuits, Jan 2013, paris, France. 2 p. (CDROM)
Communication dans un congrès hal-00994155v1
Image document

Low-Frequency Noise in High-K and SiO2 UTBOX SOI nMOSFETS

S.D. dos Santos , J.A. Martino , V. Strobel , Bogdan Cretu , Jean-Marc Routoure
China Semiconductor Technology International Conference, Mar 2013, shangai, China. pp.87-92
Communication dans un congrès hal-00994161v1

Low frequency noise as diagnostic tools in sub-22nm MOSFET transistors

Bogdan Cretu , Jean-Marc Routoure , Régis Carin , E. Simoen , C. Claeys
Workshop " Oxydes Fonctionnels pour l'Intégration en Micro- et Nano-électronique ", Apr 2013, Autrans, France
Communication dans un congrès hal-00994411v1
Image document

Detailled characterisation of SOI n-FinFETs at very low temperature

H. Achour , Bogdan Cretu , Jean-Marc Routoure , Régis Carin , Rachida Talmat
International Conference on Ultimate Integration on Silicon (ULIS), Mar 2013, Coventry, United Kingdom. 4 p
Communication dans un congrès hal-00994224v1

Bruit basse fréquence dans les transistors ultrafins totalement déplétés sur substrat SOI

V. Strobel , Bogdan Cretu , Jean-Marc Routoure , E. Simoen , C. Claeys
13èmes Journées de la Matière Condensée, Aug 2012, Montpellier, France
Communication dans un congrès hal-00994405v1
Image document

On the Variability and Front-Back Coupling of the Low-frequency Noise in UTBOX SOI nMOSFETs

S.D. dos Santos , E. Simoen , V. Strobel , Bogdan Cretu , Jean-Marc Routoure
IEEE 11th International Conference on Solid-State and Integrated Circuit Technology, Oct 2012, xian, China. 3 p
Communication dans un congrès hal-00994176v1
Image document

DC and noise performances of SOI FinFETs at very low temperature

H. Achour , Rachida Talmat , Bogdan Cretu , Jean-Marc Routoure , A. Benfdila
8th Workshop of the Thematic Network on SOI Technology, Devices and Circuits, Jan 2012, Montpellier, France. 2p
Communication dans un congrès hal-00994391v1
Image document

Low Frequency Noise Spectroscopy in Advanced nFinFETS

Rachida Talmat , H. Achour , Bogdan Cretu , Jean-Marc Routoure , A. Benfdila
Seventh Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits, Jan 2011, Grenade, Spain. pp55-56
Communication dans un congrès hal-00994154v1
Image document

Assessment of temperature dependence of the low frequency noise in unstrained and strained FinF

Rachida Talmat , H. Achour , Bogdan Cretu , Jean-Marc Routoure , A. Benfdila
International Conference on Future Networks (ICFN ), Jun 2011, toronto, Canada. pp135-138
Communication dans un congrès hal-00994151v1
Image document

Uncertainties in the estimation of low frequency noise level extracted from noise spectral density measurements

Bruno Guillet , Sheng Wu , Bogdan Cretu , Rachida Talmat , H. Achour
Noise and Fluctuations (ICNF), 2011 21st International Conference on,INCF, Jun 2011, Toronto, Canada. 4 p
Communication dans un congrès hal-00993924v1
Image document

Low-frequency noise behavior in P-channel SOI FinFETs processed with different strain techniques

Wei Guo , Rachida Talmat , Bogdan Cretu , Jean-Marc Routoure , Régis Carin
20th International Conference on Noise and Fluctuations, Jun 2009, Pista, Italy. p.295-298
Communication dans un congrès hal-00993748v1

Unusual noise behavior versus temperature in nFinFETs on silicon on insulator (SOI) substrates processed with different strain techniques

Wei Guo , Jean-Marc Routoure , Bogdan Cretu , Régis Carin
EUROSOI 2008 CONFERENCE PROCEEDINGS FOURTH WORKSHOP OF THE THEMATIC NETWORK ON SILICON-ON-INSULATOR TECHNOLOGY, 2008, dublin, Ireland
Communication dans un congrès hal-00259455v1

Two dimensional numerical simulations of low frequency noise in polysilicon thin film transistors

Abdelmalek Boukhenoufa , Christophe Cordier , Laurent Pichon , Bogdan Cretu
International TFT Conference'07, Jan 2007, Rome, Italy
Communication dans un congrès hal-00438351v1

Numerical simulations of conduction and low-frequency noise in polysilicon TFTs

Abdelmalek Boukhenoufa , Christophe Cordier , Laurent Pichon , Bogdan Cretu
EMRS, May 2006, Nice, France
Communication dans un congrès hal-00795792v1

Numerical simulation of conduction and low-frequency noise in polysilicon TFTs

Abdelmalek Boukhenoufa , Christophe Cordier , Laurent Pichon , Bogdan Cretu
Spring Meeting EMRS, May 2006, Nice, France. pp.Poster
Communication dans un congrès hal-00437419v1

Apparent noise parameter behavior in n-MOS transistors operating from subthreshold to above-threshold regions

Abdelmalek Boukhenoufa , Laurent Pichon , Christophe Cordier , Bogdan Cretu , Lehui Ding
Proceedings of the 18th International Conference on noise in Physical Systems and 1/f Fluctuation ; ICNF 2005 ; CP780 Noise and Fluctuations, Sep 2005, Salamanca, Spain. pp.319-322
Communication dans un congrès hal-00773430v1