Accéder directement au contenu
BR

Bruno Rouzeyre

120
Documents
Identifiants chercheurs

Présentation

Publications

giorgio-di-natale

Sensitivity to Laser Fault Injection: CMOS FD-SOI vs. CMOS bulk

J.-M. Dutertre , Vincent Beroulle , Philippe Candelier , Stephan de Castro , Louis-Barthelemy Faber
IEEE Transactions on Device and Materials Reliability, 2019, 19 (1), pp.6-15. ⟨10.1109/TDMR.2018.2886463⟩
Article dans une revue hal-01971932v1
Image document

A Survey on Security Threats and Countermeasures in IEEE Test Standards

Emanuele Valea , Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IEEE Design & Test, 2019, 36 (3), pp.95-116. ⟨10.1109/MDAT.2019.2899064⟩
Article dans une revue hal-02166858v1
Image document

Stream vs Block ciphers for scan encryption

Emanuele Valea , Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
Microelectronics Journal, 2019, 86, pp.65-76. ⟨10.1016/j.mejo.2019.02.019⟩
Article dans une revue lirmm-02306938v1

Preventing Scan Attacks on Secure Circuits Through Scan Chain Encryption

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019, 38 (3), pp.538-550. ⟨10.1109/TCAD.2018.2818722⟩
Article dans une revue lirmm-01867245v1
Image document

Protection against Hardware Trojans with Logic Testing: Proposed Solutions and Challenges Ahead

Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
IEEE Design & Test, 2018, 35 (2), pp.73-90. ⟨10.1109/MDAT.2017.2766170⟩
Article dans une revue lirmm-01688166v1

Assessing Body Built-In Current Sensors for Detection of Multiple Transient Faults

Raphael Viera , Jean-Max Dutertre , Marie-Lise Flottes , Olivier Potin , Giorgio Di Natale
Microelectronics Reliability, 2018, 88-90, pp.128-134. ⟨10.1016/j.microrel.2018.07.111⟩
Article dans une revue hal-01893676v1

Frontside Versus Backside Laser Injection: A Comparative Study

Stephan de Castro , Jean-Max Dutertre , Bruno Rouzeyre , Giorgio Di Natale , Marie-Lise Flottes
ACM Journal on Emerging Technologies in Computing Systems, 2016, Special Issue on Secure and Trustworthy Computing, 13 (1), pp.7. ⟨10.1145/2845999⟩
Article dans une revue lirmm-01444121v1
Image document

Improving the ability of Bulk Built-In Current Sensors to detect Single Event Effects by using triple-well CMOS

Jean-Max Dutertre , Rodrigo Possamai Bastos , Olivier Potin , Marie-Lise Flottes , Bruno Rouzeyre
Microelectronics Reliability, 2014, 54 (9-10), pp.2289-2294. ⟨10.1016/j.microrel.2014.07.151⟩
Article dans une revue emse-01094805v1
Image document

Thwarting Scan-Based Attacks on Secure-ICs with On-Chip Comparison

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 22 (4), pp.947-951. ⟨10.1109/TVLSI.2013.2257903⟩
Article dans une revue lirmm-00841650v1

Test versus Security: Past and Present

Jean da Rolt , Amitabh Das , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IEEE Transactions on Emerging Topics in Computing, 2014, 2 (1), pp.50-62. ⟨10.1109/TETC.2014.2304492⟩
Article dans une revue lirmm-00989627v1

Multi-Level Ionizing-Induced Transient Fault Simulator

Feng Lu , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Information Security Journal: A Global Perspective, 2014, 22 (5-6), pp.251-264. ⟨10.1080/19393555.2014.891280⟩
Article dans une revue lirmm-01075393v1
Image document

On the Effectiveness of Hardware Trojan Horse Detection via Side-Channel Analysis

Sophie Dupuis , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Information Security Journal: A Global Perspective, 2014, Trustworthy Manufacturing and Utilization of Secure Devices, 22 (5-6), pp.226-236. ⟨10.1080/19393555.2014.891277⟩
Article dans une revue lirmm-00991362v1
Image document

A New Recovery Scheme Against Short-to-Long Duration Transient Faults in Combinational Logic

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Feng Lu , Bruno Rouzeyre
Journal of Electronic Testing: : Theory and Applications, 2013, 29, pp.331-340. ⟨10.1007/s10836-013-5359-y⟩
Article dans une revue lirmm-00838389v1
Image document

Secure JTAG Implementation Using Schnorr Protocol

Amitabh Das , Jean da Rolt , Santosh Ghosh , Stefaan Seys , Sophie Dupuis
Journal of Electronic Testing: : Theory and Applications, 2013, 29 (2), pp.193-209. ⟨10.1007/s10836-013-5369-9⟩
Article dans une revue lirmm-00837904v1

A Novel Differential Scan Attack on Advanced DFT Structures

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ACM Transactions on Design Automation of Electronic Systems, 2013, 18 (4), pp.58. ⟨10.1145/2505014⟩
Article dans une revue lirmm-01075410v1
Image document

Sensitivity tuning of a bulk built-in current sensor for optimal transient-fault detection

Jean-Max Dutertre , Rodrigo Possamai Bastos , Olivier Potin , Marie-Lise Flottes , Bruno Rouzeyre
Microelectronics Reliability, 2013, European Symposium on Reliability of Electron Devices, Failure Physics and Analysis, 53 (9), pp.1320-1324. ⟨10.1016/j.microrel.2013.07.069⟩
Article dans une revue emse-01100723v1
Image document

Novel Transient-Fault Detection Circuit Featuring Enhanced Bulk Built-in Current Sensor with Low-Power Sleep Mode

Rodrigo Possamai Bastos , Frank Sill Torres , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Microelectronics Reliability, 2012, 52 (9-10), pp.1781-1786. ⟨10.1016/j.microrel.2012.06.149⟩
Article dans une revue lirmm-00715117v1

Scan attacks on side-channel and fault attack resistant public-key implementations

Jean da Rolt , Amitabh Das , Santos Ghosh , Giorgio Di Natale , Marie-Lise Flottes
Journal of Cryptographic Engineering, 2012, 2 (4), pp.207-219. ⟨10.1007/s13389-012-0045-z⟩
Article dans une revue lirmm-00805687v1
Image document

A Reliable Architecture for Parallel Implementations of the Advanced Encryption Standard

Giorgio Di Natale , Marion Doulcier , Marie-Lise Flottes , Bruno Rouzeyre
Journal of Electronic Testing: : Theory and Applications, 2009, 25 (4-5), pp.269-278. ⟨10.1007/s10836-009-5106-6⟩
Article dans une revue lirmm-00423026v1

Self-Test Techniques for Crypto-Devices

Giorgio Di Natale , Marion Doulcier , Marie-Lise Flottes , Bruno Rouzeyre
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2009, 18 (2), pp.329-333. ⟨10.1109/TVLSI.2008.2010045⟩
Article dans une revue lirmm-00365359v1
Image document

Providing Confidentiality and Integrity in Ultra Low Power IoT Devices

Emanuele Valea , Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Sophie Dupuis
DTIS 2019 - 14th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, Apr 2019, Mykonos, Greece. ⟨10.1109/DTIS.2019.8735090⟩
Communication dans un congrès hal-02166920v1
Image document

A Comprehensive Approach to a Trusted Test Infrastructure

Marc Merandat , Vincent Reynaud , Emanuele Valea , Jerome Quevremont , Nicolas Valette
IVSW 2019 - 4th IEEE International Verification and Security Workshop, Jul 2019, Rhodes, Greece. pp.43-48, ⟨10.1109/IVSW.2019.8854428⟩
Communication dans un congrès lirmm-02306980v1
Image document

Encryption-Based Secure JTAG

Emanuele Valea , Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
DDECS 2019 - 22nd International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2019, Cluj-Napoca, Romania. pp.1-6, ⟨10.1109/DDECS.2019.8724654⟩
Communication dans un congrès hal-02149061v1
Image document

Stream Cipher Based Encryption in IEEE Test Standards

Emanuele Valea , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
TRUDEVICE 2019 - 8th Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, May 2019, Baden Baden, Germany
Communication dans un congrès hal-02506743v1
Image document

Encryption of test data: which cipher is better?

Mathieu da Silva , Emanuele Valea , Marie-Lise Flottes , Sophie Dupuis , Giorgio Di Natale
PRIME: PhD Research in Microelectronics and Electronics, Jul 2018, Prague, Czech Republic. pp.85-88, ⟨10.1109/PRIME.2018.8430366⟩
Communication dans un congrès lirmm-01867249v1

Assessing Body Built-In Current Sensors for Detection of Multiple Transient Faults

Raphael Viera , Jean-Max Dutertre , Marie-Lise Flottes , Olivier Potin , Giorgio Di Natale
ESREF 2018 - European Symposium on Reliability of Electron Devices, Failure Physics and Analysis, Oct 2018, Aalborg, Denmark
Communication dans un congrès hal-04457522v1
Image document

The case of using CMOS FD-SOI rather than CMOS bulk to harden ICs against laser attacks

Jean-Max Dutertre , Vincent Beroulle , Philippe Candelier , Louis-Barthelemy Faber , Marie-Lise Flottes
IOLTS: International On-Line Testing Symposium, Jul 2018, Platja d’Aro, Spain. pp.214-219, ⟨10.1109/IOLTS.2018.8474230⟩
Communication dans un congrès emse-01856000v1
Image document

A new secure stream cipher for scan chain encryption

Mathieu da Silva , Emanuele Valea , Marie-Lise Flottes , Sophie Dupuis , Giorgio Di Natale
3rd IEEE International Verification and Security Workshop (IVSW 2018), Jul 2018, Platja d’Aro, Spain. pp.68-73, ⟨10.1109/IVSW.2018.8494852⟩
Communication dans un congrès lirmm-01867256v1
Image document

Laser fault injection at the CMOS 28 nm technology node: an analysis of the fault model

Jean-Max Dutertre , Vincent Beroulle , Philippe Candelier , Stephan de Castro , Louis-Barthelemy Faber
FDTC: Fault Diagnosis and Tolerance in Cryptography, Sep 2018, Amsterdam, Netherlands. pp.1-6, ⟨10.1109/FDTC.2018.00009⟩
Communication dans un congrès emse-01856008v1
Image document

Does stream cipher-based scan chains encryption really prevent scan attacks?

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
TRUDEVICE Workshop, Mar 2018, Dresden, Germany
Communication dans un congrès lirmm-01867286v1
Image document

SI ECCS: SECure context saving for IoT devices

Emanuele Valea , Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Sophie Dupuis
DTIS 2018 - 13th International Conference on Design and Technology of Integrated Systems in Nanoscale Era, Apr 2018, Taormina, Italy. ⟨10.1109/DTIS.2018.8368561⟩
Communication dans un congrès hal-01740173v1

Hacking the Control Flow error detection mechanism

Giorgio Di Natale , Marie-Lise Flottes , Sophie Dupuis , Bruno Rouzeyre
IVSW: International Verification and Security Workshop, Jul 2017, Thessaloniki, Greece. pp.51-56, ⟨10.1109/IVSW.2017.8031544⟩
Communication dans un congrès lirmm-01700739v1
Image document

Scan Chain Encryption

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
DOCTIS: Journée des Doctorants de l’école doctorale I2S, 2017, Montpellier, France
Communication dans un congrès lirmm-01867277v1
Image document

Experimentations on scan chain encryption with PRESENT

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
IVSW: International Verification and Security Workshop, Jul 2017, Thessaloniki, Greece. pp.45-50, ⟨10.1109/IVSW.2017.8031543⟩
Communication dans un congrès lirmm-01699258v1
Image document

Scan chain encryption for the test, diagnosis and debug of secure circuits

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre , Paolo Prinetto
ETS: European Test Symposium, May 2017, Limassol, Cyprus. ⟨10.1109/ETS.2017.7968248⟩
Communication dans un congrès lirmm-01699254v1
Image document

Duplication-based Concurrent Detection of Hardware Trojans in Integrated Circuits

Manikandan Palanichamy , Papa-Sidy Ba , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale
TRUDEVICE, Nov 2016, Barcelona, Spain
Communication dans un congrès lirmm-01385551v1
Image document

Using Outliers to Detect Stealthy Hardware Trojan Triggering?

Papa-Sidy Ba , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
IVSW: International Verification and Security Workshop, Jul 2016, Sant Feliu de Guixols, France
Communication dans un congrès lirmm-01347119v1
Image document

Hardware Trust through Layout Filling: a Hardware Trojan Prevention Technique

Papa-Sidy Ba , Sophie Dupuis , Manikandan Palanichamy , Marie-Lise Flottes , Giorgio Di Natale
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2016, Pittsburgh, United States. pp.254-259, ⟨10.1109/ISVLSI.2016.22⟩
Communication dans un congrès lirmm-01346529v1

Multi-segment Enhanced Scan-chains for Secure ICs

Mafalda Cortez , Said Hamdioui , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
TRUDEVICE Workshop, Sep 2015, Saint-Malo, France
Communication dans un congrès lirmm-01276304v1

Validation Of Single BBICS Architecture In Detecting Multiple Faults

Raphael Andreoni Camponogara-Viera , Rodrigo Possamai Bastos , Jean-Max Dutertre , Olivier Potin , Marie-Lise Flottes
ATS: Asian Test Symposium, Nov 2015, Mumbai, India
Communication dans un congrès lirmm-01234067v1

Sensitivity to fault laser injection: a comparison between 28nm bulk and FD-SOI technology

Stephan de Castro , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
TRUDEVICE Workshop, Sep 2015, Saint-Malo, France
Communication dans un congrès lirmm-01234094v1
Image document

Figure of merits of 28nm Si technologies for implementing laser attack resistant security dedicated circuits

Stephan de Castro , Jean-Max Dutertre , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.362-367, ⟨10.1109/ISVLSI.2015.76⟩
Communication dans un congrès emse-01227138v1
Image document

3D DFT Challenges and Solutions

Yassine Fkih , Pascal Vivet , Marie-Lise Flottes , Bruno Rouzeyre , Giorgio Di Natale
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.603-608, ⟨10.1109/ISVLSI.2015.11⟩
Communication dans un congrès lirmm-01234076v1

Session-less based thermal-aware 3D-SIC test scheduling

Marie-Lise Flottes , João Azevedo , Giorgio Di Natale , Bruno Rouzeyre
ETS: European Test Symposium, May 2015, Cluj-Napoca, Romania. ⟨10.1109/ETS.2015.7138732⟩
Communication dans un congrès lirmm-01922990v1
Image document

Hardware Trojan Prevention using Layout-Level Design Approach

Papa-Sidy Ba , Manikandan Palanichamy , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale
ECCTD: European Conference on Circuit Theory and Design, Aug 2015, Trondheim, Norway. ⟨10.1109/ECCTD.2015.7300093⟩
Communication dans un congrès lirmm-01234072v1
Image document

New Testing Procedure for Finding Insertion Sites of Stealthy Hardware Trojans

Sophie Dupuis , Bruno Rouzeyre , Marie-Lise Flottes , Giorgio Di Natale , Papa-Sidy Ba
DATE 2015 - 18th Design, Automation and Test in Europe Conference and Exhibition, Mar 2015, Grenoble, France. pp.776-781, ⟨10.7873/DATE.2015.1102⟩
Communication dans un congrès lirmm-01141619v1

Hierarchical Secure DfT

Mafalda Cortez , Said Hamdioui , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
TRUDEVICE Workshop, Sep 2015, St Malo, France
Communication dans un congrès lirmm-01234095v1
Image document

Simulating Laser Effects on ICs, from Physical Level to Gate Level: a comprehensive approach

Feng Lu , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
TRUDEVICE Workshop, May 2014, Paderborn, Germany
Communication dans un congrès lirmm-01119614v1
Image document

Laser attacks on integrated circuits: from CMOS to FD-SOI

Jean-Max Dutertre , Stephan de Castro , Alexandre Sarafianos , Noémie Boher , Bruno Rouzeyre
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, May 2014, Santorin, Greece. ⟨10.1109/DTIS.2014.6850664⟩
Communication dans un congrès emse-01099042v1

Layout-Aware Laser Fault Injection Simulation and Modeling: from physical level to gate level

Feng Lu , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, May 2014, Santorin, Greece. ⟨10.1109/DTIS.2014.6850665⟩
Communication dans un congrès lirmm-01119592v1

Built-In Self-Test for Manufacturing TSV Defects before bonding

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre , Hakim Zimouche
VTS: VLSI Test Symposium, Apr 2014, Napa, CA, United States. ⟨10.1109/VTS.2014.6818771⟩
Communication dans un congrès lirmm-00989682v1

Customized Cell Detector for Laser-Induced-Fault Detection

Feng Lu , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IOLTS: International On-Line Testing Symposium, Jul 2014, Platja d'Aro, Spain. pp.37-42, ⟨10.1109/IOLTS.2014.6873669⟩
Communication dans un congrès lirmm-01119576v1
Image document

Design of Bulk Built-In Current Sensors to Detect Single Event Effects and Laser-Induced Fault Injection Attempts

Jean-Max Dutertre , Rodrigo Possamai Bastos , Olivier Potin , Marie-Lise Flottes , Giorgio Di Natale
Joint MEDIAN–TRUDEVICE Open Forum, Sep 2014, Amsterdam, Netherlands
Communication dans un congrès emse-01099040v1
Image document

Laser-Induced Fault Effects in Security-Dedicated Circuits

Vincent Beroulle , Philippe Candelier , Stephan de Castro , Giorgio Di Natale , Jean-Max Dutertre
VLSI-SoC: Very Large Scale Integration and System-on-Chip, Oct 2014, Playa del Carmen, Mexico. pp.220-240, ⟨10.1007/978-3-319-25279-7_12⟩
Communication dans un congrès hal-01383737v1
Image document

A Novel Hardware Logic Encryption Technique for thwarting Illegal Overproduction and Hardware Trojans

Sophie Dupuis , Papa-Sidy Ba , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IOLTS: International On-Line Testing Symposium, Jul 2014, Platja d'Aro, Girona, Spain. pp.49-54, ⟨10.1109/IOLTS.2014.6873671⟩
Communication dans un congrès lirmm-01025275v1
Image document

2D to 3D Test Pattern Retargeting Using IEEE P1687 Based 3D DFT Architectures

Yassine Fkih , Pascal Vivet , Bruno Rouzeyre , Marie-Lise Flottes , Giorgio Di Natale
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2014, Tampa, FL, United States. pp.386-391, ⟨10.1109/ISVLSI.2014.83⟩
Communication dans un congrès lirmm-01119605v1

Improving the ability of Bulk Built-In Current Sensors to detect Single Event Effects by using triple-well CMOS

Jean-Max Dutertre , Rodrigo Possamai Bastos , Olivier Potin , Marie-Lise Flottes , Bruno Rouzeyre
25th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2014), Sep 2014, Berlin, Germany
Communication dans un congrès hal-03094235v1

TSVs Pre-Bond Testing: a test scheme for capturing BIST responses

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre , Hakim Zimouche
3D-Test: Testing Three-Dimensional Stacked Integrated Circuits, Sep 2013, Anaheim, CA, United States
Communication dans un congrès lirmm-00989707v1
Image document

Identification of Hardware Trojans triggering signals

Sophie Dupuis , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
First Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, May 2013, Avignon, France
Communication dans un congrès lirmm-00991360v1
Image document

A 3D IC BIST for pre-bond test of TSVs using Ring Oscillators

Yassine Fkih , Pascal Vivet , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
NEWCAS: New Circuits and Systems, Jun 2013, Paris, France. pp.001-004
Communication dans un congrès lirmm-00838524v1

A BIST Method for TSVs Pre-Bond Test

Hakim Zimouche , Marie-Lise Flottes , Bruno Rouzeyre , Giorgio Di Natale
IDT'13: 8th IEEE International Design & Test Symposium, Dec 2013, Marrakesh, Morocco. pp.1-6, ⟨10.1109/IDT.2013.6727081⟩
Communication dans un congrès lirmm-00989727v1
Image document

Laser-Induced Fault Simulation

Feng Lu , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
EUROMICRO DSD/SEAA, Sep 2013, Santander, Spain. pp.609-614, ⟨10.1109/DSD.2013.72⟩
Communication dans un congrès lirmm-01430807v1

Sensitivity tuning of a bulk built-in current sensor for optimal transient-fault detection

Jean-Max Dutertre , Rodrigo Possamai Bastos , Olivier Potin , Marie-Lise Flottes , Bruno Rouzeyre
ESREF: European Symposium on Reliability of Electron devices, Failure physics and analysis, Sep 2013, Arcachon, France. pp.B3c-2 #68
Communication dans un congrès hal-00872705v1
Image document

A Bulk Built-in Sensor for Detection of Fault Attacks

Rodrigo Possamai Bastos , Frank Sill Torres , Jean Max Dutertre , Marie-Lise Flottes , Giorgio Di Natale
HOST: Hardware-Oriented Security and Trust, Jun 2013, Austin, TX, United States. pp.51-54, ⟨10.1109/HST.2013.6581565⟩
Communication dans un congrès lirmm-01430800v1
Image document

3D Design For Test Architectures Based on IEEE P1687

Yassine Fkih , Pascal Vivet , Bruno Rouzeyre , Marie-Lise Flottes , Giorgio Di Natale
4th IEEE International Workshop on Testing Three-Dimensional Stacked Integrated Circuits (3D-TEST), Sep 2013, Anaheim, CA, United States
Communication dans un congrès lirmm-00989717v1
Image document

A smart test controller for scan chains in secure circuits

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IOLTS: International On-Line Testing Symposium, Jul 2013, Chania, Greece. pp.228-229, ⟨10.1109/IOLTS.2013.6604085⟩
Communication dans un congrès lirmm-01430814v1
Image document

A single built-in sensor to check pull-up and pull-down CMOS networks against transient faults

Rodrigo Possamai Bastos , Frank Sill Torres , Jean-Max Dutertre , Marie-Lise Flottes , Giorgio Di Natale
PATMOS: Power and Timing Modeling, Optimization and Simulation, Sep 2013, Karlsruhe, Germany. pp.157-163, ⟨10.1109/PATMOS.2013.6662169⟩
Communication dans un congrès lirmm-00968621v1

Novel transient-fault detection circuit featuring enhanced bulk built-in current sensor with low-power sleep-mode

Rodrigo Possamai Bastos , Frank Sill Torres , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ESREF: European Symposium on Reliability of Electron devices, Failure physics and analysis, Oct 2012, Cagliari, Italy
Communication dans un congrès hal-00867864v1
Image document

A New Scan Attack on RSA in Presence of Industrial Countermeasures

Jean da Rolt , Amitabh Das , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
COSADE: Constructive Side-Channel Analysis and Secure Design, May 2012, Darmstadt, Germany. pp.89-104, ⟨10.1007/978-3-642-29912-4_8⟩
Communication dans un congrès lirmm-00719986v1
Image document

On-Chip Comparison for Testing Secure ICs

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France. pp.112-117
Communication dans un congrès lirmm-00795205v1
Image document

Is Side-Channel Analysis really reliable for detecting Hardware Trojans?

Giorgio Di Natale , Sophie Dupuis , Bruno Rouzeyre
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France. pp.238-242
Communication dans un congrès lirmm-00823477v1
Image document

A Scan-based Attack on Elliptic Curve Cryptosystems in presence of Industrial Design-for-Testability Structures

Jean da Rolt , Bruno Rouzeyre , Marie-Lise Flottes , Giorgio Di Natale , Amitabh Das
IEEE International Symposium on Defect and Fault Tolerance in VLSI & Nanotechnology Systems, United States. http://www.dfts.org/
Communication dans un congrès lirmm-00744472v1

Are Advanced DfT Structures Sufficient for Preventing Scan-Attacks?

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
VTS'12: 30th IEEE VLSI Test Symposium, Apr 2012, Maui, Hawai, United States. pp.246-251
Communication dans un congrès lirmm-00694536v1
Image document

Calibrating Bulk Built-in Current Sensors for Detecting Transient Faults

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Colloque GDR SoC-SiP, 2012, Lyon, France
Communication dans un congrès lirmm-00715126v1
Image document

New Security Threats Against Chips Containing Scan Chain Structures

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
HOST'11: IEEE International Symposium on Hardware-Oriented Security and Trust, San Diego, CA, United States. pp.105-110
Communication dans un congrès lirmm-00599690v1

Power Consumption Traces Realignment to Improve Differential Power Analysis

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre , Miroslav Valka , Denis Real
DDECS'11: 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits ans Systems, Germany. pp.201-206
Communication dans un congrès lirmm-00592005v1

Scan Attacks and Countermeasures in Presence of Scan Response Compactors

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ETS: European Test Symposium, May 2011, Trondheim, Norway. pp.19-24, ⟨10.1109/ETS.2011.30⟩
Communication dans un congrès lirmm-00647062v1
Image document

New side-channel attack against scan chains

Jean da Rolt , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
9th CryptArchi Workshop (2011), Jun 2011, Bochum, Germany. pp.2
Communication dans un congrès lirmm-00648575v1
Image document

Timing Issues of Transient Faults in Concurrent Error Detection Schemes

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
GdR SoC-SiP'2011: Colloque national du Groupement de Recherche System-On-Chip et System-In-Package, Jun 2011, Lyon, France. http://www2.lirmm.fr/~w3mic/SOCSIP/
Communication dans un congrès lirmm-00701798v1
Image document

How to Sample Results of Concurrent Error Detection Schemes in Transient Fault Scenarios?

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
RADECS: Radiation and Its Effects on Components and Systems, Sep 2011, Sevilla, Spain. pp.635-642, ⟨10.1109/RADECS.2011.6131361⟩
Communication dans un congrès lirmm-00701776v1
Image document

Timing Issues for an Efficient Use of Concurrent Error Detection Codes

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
LATW: Latin American Test Workshop, Mar 2011, Porto de Galinhas, Brazil. pp.1-6, ⟨10.1109/LATW.2011.5985933⟩
Communication dans un congrès lirmm-00627427v1
Image document

A New Bulk Built-in Current Sensor-Based Strategy for Dealing with Long-Duration Transient Faults in Deep-Submicron Technologies

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DFT'2011: International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2011, Vancouver, Canada. pp.302-308, ⟨10.1109/DFT.2011.15⟩
Communication dans un congrès lirmm-00701789v1

Evaluation of Resistance to Differential Power Analysis: Execution Time Optimizations for Designers

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DELTA'10: Fifth IEEE International Symposium on Electronic Design, Test and Application, Jan 2010, Ho Chi Minh City, Vietnam. pp.256-261
Communication dans un congrès lirmm-00539993v1

Waveforms re-Alignment to Improve DPA Attacks

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre , Miroslav Valka
CryptArchi: Cryptographic Architectures, Jun 2010, Gif-sur-Yvette, France
Communication dans un congrès lirmm-00539994v1

Ensuring High Testability without Degrading Security

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DDECS'10: IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, Vienna, Austria. pp.6
Communication dans un congrès lirmm-00480710v1

Evaluation of Concurrent Error Detection Techniques on the Advanced Encryption Standard

Kaouthar Bousselam , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IOLTS: International On-Line Testing Symposium, Jul 2010, Corfu, Greece. pp.223-228, ⟨10.1109/IOLTS.2010.5560196⟩
Communication dans un congrès lirmm-00539232v1
Image document

Evaluation of Concurrent Error Detection Techniques on the Advanced Encryption Standard

Kaouthar Bousselam , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ETS: European Test Symposium, May 2010, Prague, Czech Republic
Communication dans un congrès lirmm-00493247v1
Image document

Ensuring High Testability without Degrading Security

Marie-Lise Flottes , Giorgio Di Natale , Paolo Maistri , Bruno Rouzeyre , Régis Leveugle
ETS: European Test Symposium, May 2009, Seville, Spain
Communication dans un congrès lirmm-00407163v1

Tutorial on Design For Testability & Digital Security

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IEEE 10th Latin American Test Workshop, 2009, Buzios, Brazil
Communication dans un congrès lirmm-00407161v1

Execution Time Reduction of Differential Power Analysis Experiments

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
LATW'09: 10th Latin-American Test Workshop, Mar 2009, Armaçao dos Buzios, Brazil, pp.1-5, ⟨10.1109/LATW.2009.4813819⟩
Communication dans un congrès lirmm-00367712v1
Image document

An Integrated Validation Environment for Differential Power Analysis

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DELTA: Electronic Design, Test and Applications, Jan 2008, Hong Kong, China. pp.527-532, ⟨10.1109/DELTA.2008.61⟩
Communication dans un congrès lirmm-00407165v1
Image document

A Reliable Architecture for Substitution Boxes in Integrated Cryptographic

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DCIS'08: Conference on Design of Circuits and Integrated Systems, Nov 2008, pp.27-32
Communication dans un congrès lirmm-00363783v1

Stuck-at-Faults Test using Differential Power Analysis

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
LPonTR'08: Workshop on Low Power Design Impact on Test and Reliability, May 2008, Italy
Communication dans un congrès lirmm-00332529v1
Image document

Observability of Stuck-at-Faults with Differential Power Analysis

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
LATW'08: IEEE Latin American Test Workshop, Feb 2008, Mexico. pp.N/A
Communication dans un congrès lirmm-00295498v1

Low Cost Self-Test of Crypto-Devices

Giorgio Di Natale , Marion Doulcier , Marie-Lise Flottes , Bruno Rouzeyre
WDSN'08: 2nd Workshop on Dependable and Secure Nanocomputing, Jun 2008, Anchorage, Canada, United States. pp.41-46
Communication dans un congrès lirmm-00295108v1

An Integrated Validation Environment for Differential Power Analysis

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
SAME'08: Sophia-Antipolis Forum on MicroElectronics 2008, Sep 2008, Sophia-Antipolis, France
Communication dans un congrès lirmm-00363796v1

A Reliable Architecture for the Advanced Encryption Standard

Giorgio Di Natale , Marion Doulcier , Marie-Lise Flottes , Bruno Rouzeyre
13th IEEE European Test Symposium, May 2008, Verbania, Italy. pp.13-18, ⟨10.1109/ETS.2008.26⟩
Communication dans un congrès lirmm-00285868v1

Test and Security

Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre , Marion Doulcier
CryptArchi: Cryptographic Architectures, Jun 2007, Montpellier, France
Communication dans un congrès lirmm-00163017v1
Image document

An On-Line Fault Detection Scheme for SBoxes in Secure Circuits

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IOLTS 2007 - 13th IEEE International On-Line Testing and Robust System Design Symposium, Jul 2007, Heraklion, Crete, Greece. pp.57-62, ⟨10.1109/IOLTS.2007.16⟩
Communication dans un congrès lirmm-00163244v1
Image document

A Novel Parity Bit Scheme for SBOX in AES Circuits

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IEEE Design and Diagnostics of Electronic Circuits and Systems (DDECS), Apr 2007, Cracovie, Poland. pp.267-271, ⟨10.1109/DDECS.2007.4295295⟩
Communication dans un congrès lirmm-00141799v1

On-Line Self-Test of AES Hardware Implementations

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DSN'07: Workshop on Dependable and Secure Nanocomputing, Jun 2007, Edinburgh, United Kingdom
Communication dans un congrès lirmm-00163405v1
Image document

Encryption Techniques for Test Infrastructures

Emanuele Valea , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
13e Colloque National Du GDR SoC², Jun 2019, Montpellier, France.
Poster de conférence lirmm-02306922v1
Image document

Scan chain encryption in Test Standards

Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
SURREALIST: SecURity, REliAbiLity, test, prIvacy, Safety and Trust of Future Devices, May 2018, Bremen, Germany. , Workshop on SecURity, REliAbiLity, test, prIvacy, Safety and Trust of Future Devices, 2018
Poster de conférence lirmm-01882578v2
Image document

Stream cipher-based scan encryption in test standards

Mathieu da Silva , Emanuele Valea , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
12e Colloque National du GDR SoC/SiP, Jun 2018, Paris, France. 2018
Poster de conférence lirmm-01867283v1
Image document

Scan chain encryption, a countermeasure against scan attacks

Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
PHISIC: Practical Hardware Innovations in Security Implementation and Characterization, May 2018, Gardanne, France. , Workshop on Practical Hardware Innovations in Security Implementation and Characterization, 2018
Poster de conférence lirmm-01882565v2
Image document

Sécurité des moyens de test des SoC

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
Journée thématique des GDR SoC² et Sécurité Informatique : Sécurité des SoC complexes hétérogènes – de la TEE au matériel, Sep 2018, Paris, France. 2018
Poster de conférence lirmm-01882552v1
Image document

SECCS: SECure Context Saving for IoT Devices

Emanuele Valea , Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Sophie Dupuis
12e Colloque National du GDR SoC/SiP, Jun 2018, Paris, France. 2018
Poster de conférence hal-02042659v1
Image document

Sécurisation des structures de test : étude comparative

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
11e Colloque National du GDR SoC/SiP, Jun 2017, Bordeaux, France. 2017
Poster de conférence lirmm-01867279v1
Image document

Scan Chain Encryption for the Test, Diagnosis and Debug of Secure Circuits

Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
SETS: South European Test Seminar, Mar 2017, Alpe d'Huez, France. , 2017
Poster de conférence lirmm-01892667v1

Detection and Prevention of Hardware Trojan through Logic Testing

Papa-Sidy Ba , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
TRUDEVICE, Nov 2016, Barcelona, Spain. , 4th Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, Manufacturing test of secure devices / Reverse engineering countermeasures / Other topics, pp.#33, 2016, Posters IV
Poster de conférence lirmm-01430007v1
Image document

tLIFTING : A Multi-level Delay-annotated Fault Simulator for Digital Circuits

Giorgio Di Natale , Marie-Lise Flottes , Feng Lu , Bruno Rouzeyre
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France. , 2012
Poster de conférence lirmm-00799892v1

A Dependable Parallel Architecture for SBoxes

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ReCoSoc'07: International Workshop on Reconfigurable Communication Centric System-On-Chips, Jun 2007, Montpellier, France. pp.CD-ROM, 2007
Poster de conférence lirmm-00163414v1

Chapitre 6 : On Countermeasures Against Fault Attacks on the Advanced Encryption Standard

Kaouthar Bousselam , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Marc Joye and Michael Tunstall. Fault Analysis in Cryptography, Springer, pp.89-109, 2012, Information Security and Cryptography, 978-3-642-29656-0 (-7 for eBook)
Chapitre d'ouvrage lirmm-00744671v1
Image document

Chapter 9: Fault Detection in Crypto-devices

Kaouthar Bousselam , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Wei Zhang. Fault Detection, InTech, pp.177-194, 2010, 978-953-307-037-7. ⟨10.5772/213⟩
Chapitre d'ouvrage lirmm-00437252v1