Accéder directement au contenu

Giorgio Di Natale

21
Documents

Présentation

Giorgio Di Natale received the PhD in Computer Engineering from the Politecnico di Torino in 2003. He is director of research for the National Research Center of France at the TIMA laboratory in Grenoble. His research interests include hardware security and trust, secure circuits design and test, reliability evaluation and fault tolerance, software implemented hardware fault tolerance, and VLSI testing.

Publications

sophie-dupuis
Image document

A Comprehensive Approach to a Trusted Test Infrastructure

Marc Merandat , Vincent Reynaud , Emanuele Valea , Jerome Quevremont , Nicolas Valette
IVSW 2019 - 4th IEEE International Verification and Security Workshop, Jul 2019, Rhodes, Greece. pp.43-48, ⟨10.1109/IVSW.2019.8854428⟩
Communication dans un congrès lirmm-02306980v1
Image document

Providing Confidentiality and Integrity in Ultra Low Power IoT Devices

Emanuele Valea , Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Sophie Dupuis
DTIS 2019 - 14th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, Apr 2019, Mykonos, Greece. ⟨10.1109/DTIS.2019.8735090⟩
Communication dans un congrès hal-02166920v1
Image document

Encryption of test data: which cipher is better?

Mathieu da Silva , Emanuele Valea , Marie-Lise Flottes , Sophie Dupuis , Giorgio Di Natale
PRIME: PhD Research in Microelectronics and Electronics, Jul 2018, Prague, Czech Republic. pp.85-88, ⟨10.1109/PRIME.2018.8430366⟩
Communication dans un congrès lirmm-01867249v1
Image document

SI ECCS: SECure context saving for IoT devices

Emanuele Valea , Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Sophie Dupuis
DTIS 2018 - 13th International Conference on Design and Technology of Integrated Systems in Nanoscale Era, Apr 2018, Taormina, Italy. ⟨10.1109/DTIS.2018.8368561⟩
Communication dans un congrès hal-01740173v1

A Novel Use of Approximate Circuits to Thwart Hardware Trojan Insertion and Provide Obfuscation

Honorio Martin , Luis Entrena , Sophie Dupuis , Giorgio Di Natale
IOLTS: International Symposium on On-Line Testing And Robust System Design, Jul 2018, Platja d'Aro, Spain. pp.41-42, ⟨10.1109/IOLTS.2018.8474077⟩
Communication dans un congrès lirmm-02095736v1
Image document

A new secure stream cipher for scan chain encryption

Mathieu da Silva , Emanuele Valea , Marie-Lise Flottes , Sophie Dupuis , Giorgio Di Natale
3rd IEEE International Verification and Security Workshop (IVSW 2018), Jul 2018, Platja d’Aro, Spain. pp.68-73, ⟨10.1109/IVSW.2018.8494852⟩
Communication dans un congrès lirmm-01867256v1

Hacking the Control Flow error detection mechanism

Giorgio Di Natale , Marie-Lise Flottes , Sophie Dupuis , Bruno Rouzeyre
IVSW: International Verification and Security Workshop, Jul 2017, Thessaloniki, Greece. pp.51-56, ⟨10.1109/IVSW.2017.8031544⟩
Communication dans un congrès lirmm-01700739v1
Image document

Duplication-based Concurrent Detection of Hardware Trojans in Integrated Circuits

Manikandan Palanichamy , Papa-Sidy Ba , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale
TRUDEVICE, Nov 2016, Barcelona, Spain
Communication dans un congrès lirmm-01385551v1
Image document

Hardware Trust through Layout Filling: a Hardware Trojan Prevention Technique

Papa-Sidy Ba , Sophie Dupuis , Manikandan Palanichamy , Marie-Lise Flottes , Giorgio Di Natale
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2016, Pittsburgh, United States. pp.254-259, ⟨10.1109/ISVLSI.2016.22⟩
Communication dans un congrès lirmm-01346529v1
Image document

Using Outliers to Detect Stealthy Hardware Trojan Triggering?

Papa-Sidy Ba , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
IVSW: International Verification and Security Workshop, Jul 2016, Sant Feliu de Guixols, France
Communication dans un congrès lirmm-01347119v1
Image document

Hardware Trojan Prevention using Layout-Level Design Approach

Papa-Sidy Ba , Manikandan Palanichamy , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale
ECCTD: European Conference on Circuit Theory and Design, Aug 2015, Trondheim, Norway. ⟨10.1109/ECCTD.2015.7300093⟩
Communication dans un congrès lirmm-01234072v1
Image document

New Testing Procedure for Finding Insertion Sites of Stealthy Hardware Trojans

Sophie Dupuis , Bruno Rouzeyre , Marie-Lise Flottes , Giorgio Di Natale , Papa-Sidy Ba
DATE 2015 - 18th Design, Automation and Test in Europe Conference and Exhibition, Mar 2015, Grenoble, France. pp.776-781, ⟨10.7873/DATE.2015.1102⟩
Communication dans un congrès lirmm-01141619v1
Image document

A Novel Hardware Logic Encryption Technique for thwarting Illegal Overproduction and Hardware Trojans

Sophie Dupuis , Papa-Sidy Ba , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IOLTS: International On-Line Testing Symposium, Jul 2014, Platja d'Aro, Girona, Spain. pp.49-54, ⟨10.1109/IOLTS.2014.6873671⟩
Communication dans un congrès lirmm-01025275v1
Image document

Identification of Hardware Trojans triggering signals

Sophie Dupuis , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
First Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, May 2013, Avignon, France
Communication dans un congrès lirmm-00991360v1
Image document

Is Side-Channel Analysis really reliable for detecting Hardware Trojans?

Giorgio Di Natale , Sophie Dupuis , Bruno Rouzeyre
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France. pp.238-242
Communication dans un congrès lirmm-00823477v1
Image document

SECCS: SECure Context Saving for IoT Devices

Emanuele Valea , Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Sophie Dupuis
12e Colloque National du GDR SoC/SiP, Jun 2018, Paris, France. 2018
Poster de conférence hal-02042659v1

Detection and Prevention of Hardware Trojan through Logic Testing

Papa-Sidy Ba , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
TRUDEVICE, Nov 2016, Barcelona, Spain. , 4th Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, Manufacturing test of secure devices / Reverse engineering countermeasures / Other topics, pp.#33, 2016, Posters IV
Poster de conférence lirmm-01430007v1