
Gerard Ghibaudo
734
Documents
Publications
Publications
|
Cryogenic electronics for quantum computing ICs: what can bring FDSOI245th ECS Meeting, The Electrochemical Society, May 2023, Boston MA, United States. pp.149, ⟨10.1149/11101.0149ecst⟩
Communication dans un congrès
hal-04246065
v1
|
|
Experimental Study of Self-Heating Effect in InGaAs HEMTs for Quantum Technologies Down to 10KIEEE International Reliability Physics Symposium (IRPS), Mar 2023, Monterey, United States. ⟨10.1109/IRPS48203.2023.10118294⟩
Communication dans un congrès
hal-04246081
v1
|
|
Drain Current Variability in 2-levels Stacked Nanowire Gate All Around P-type Field Effect Transistors2023 7th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Mar 2023, Seoul, South Korea. pp.1-3, ⟨10.1109/EDTM55494.2023.10103067⟩
Communication dans un congrès
hal-04305370
v1
|
Frequency dependant gate oxide TDDB modelirps 2022 6 IEEE International Reliability Physics Symposium, Mar 2022, Dallas, United States. pp.P25-1-P25-5, ⟨10.1109/IRPS48227.2022.9764503⟩
Communication dans un congrès
hal-04786056
v1
|
|
Electrical characterization and modeling of FDSOI MOSFETs for Cryo-ElectronicsWorkshop On Low Temperature Electronics, Jun 2022, Matera, Italy. pp.10.1109/WOLTE55422.2022.9882859
Communication dans un congrès
cea-04285226
v1
|
|
|
In-depth electrical characterization of deca-nanometer InGaAs MOSFET down to deep cryogenic temperaturesIEEE 52nd European Solid-State Device Research Conference (ESSDERC), Sep 2022, Milan, Italy. ⟨10.1109/ESSDERC55479.2022.9947142⟩
Communication dans un congrès
hal-03853017
v1
|
Second Harmonic Generation: Non-Linear Optics for Characterization of Electrical Properties of Dielectric-on-Semiconductor Interfacessymposium D02: Dielectrics for Nanosystems 9: Materials Science, Processing, Reliability, and Manufacturing, conference 241st ECS meeting, May 2022, Vancouver (CA), Canada
Communication dans un congrès
hal-04743586
v1
|
|
FDSOI for cryoCMOS electronics: device characterization towards compact modelIEDM 2022, Dec 2022, San Francisco, United States. pp.827, ⟨10.1109/IEDM45625.2022.10019322⟩
Communication dans un congrès
cea-04249903
v1
|
|
The impact of free carriers and surface traps on semiconducting piezoelectric devices6th International on-line Conference on Nanogenerators and Piezotronics, NGPT 2022, Jun 2022, Sundsvall, Sweden
Communication dans un congrès
hal-04988727
v1
|
|
|
Low temperature behavior of FD-SOI MOSFETs from micro- to nano-meter channel lengths14th Workshop on Low Temperature Electronics ( WOLTE 2021), Apr 2021, Virtual, Italy. ⟨10.1109/WOLTE49037.2021.9555451⟩
Communication dans un congrès
hal-03368251
v1
|
Mechanical energy transducers based on semiconducting piezoelectric nanowiresMRM2021 Materials Research Meeting, Dec 2021, Yokohama ( virtual ), Japan
Communication dans un congrès
hal-04987897
v1
|
|
Study on the difference between ID(VG) and C(VG) pBTI shifts in GaN-on-Si E-mode MOSc-HEMT2021 IEEE International Reliability Physics Symposium (IRPS), Mar 2021, Monterey, United States. pp.1-8, ⟨10.1109/IRPS46558.2021.9405221⟩
Communication dans un congrès
cea-04951884
v1
|
|
Reliable method for low field temperature dependent mobility extraction at Al2O3/GaN interfaceESSDERC 2021 - IEEE 51st European Solid-State Device Research Conference (ESSDERC), Sep 2021, Grenoble, France. pp.295-298, ⟨10.1109/ESSDERC53440.2021.9631766⟩
Communication dans un congrès
hal-04745770
v1
|
|
|
“Pinch to Detect”: A Method to Increase the Number of Detectable RTN Traps in Nano-scale MOSFETs2021 IEEE International Reliability Physics Symposium (IRPS), Mar 2021, Monterey, United States. ⟨10.1109/IRPS46558.2021.9405102⟩
Communication dans un congrès
hal-03260919
v1
|
|
Comprehensive Kubo-Greenwood modelling of FDSOI MOS devices down to deep cryogenic temperatures2021 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EuroSOI-ULIS), Sep 2021, Caen, France. ⟨10.1109/EuroSOI-ULIS53016.2021.9560694⟩
Communication dans un congrès
hal-03852877
v1
|
|
VERILOR: A Verilog-A Model of Lorentzian Spectra for Simulating Trap-related Noise in CMOS CircuitsESSDERC 2021 - IEEE 51st European Solid-State Device Research Conference (ESSDERC), Sep 2021, Grenoble, France. pp.247-250, ⟨10.1109/ESSDERC53440.2021.9631802⟩
Communication dans un congrès
hal-03876875
v1
|
Carbon-related pBTI degradation mechanisms in GaN-on-Si E-mode MOSc-HEMT2020 IEEE International Electron Devices Meeting (IEDM), Dec 2020, San Francisco, United States. pp.23.6.1-23.6.4, ⟨10.1109/IEDM13553.2020.9371938⟩
Communication dans un congrès
cea-04904298
v1
|
|
Characterization and Lambert – W Function based modeling of FDSOI five-gate qubit MOS devices down to cryogenic temperaturesEUROSOI-ULIS, Sep 2021, Caen, France. pp.1-4, ⟨10.1109/EuroSOI-ULIS53016.2021.9560671⟩
Communication dans un congrès
cea-04449211
v1
|
|
Parasitic capacitance analysis in short channel GaN MIS-HEMTsESSDERC 2021 - IEEE 51st European Solid-State Device Research Conference (ESSDERC), Sep 2021, Grenoble, France. pp.299-302, ⟨10.1109/ESSDERC53440.2021.9631820⟩
Communication dans un congrès
hal-04745735
v1
|
|
|
Thorough investigation of low frequency noise mechanisms in AlGaN/GaN and Al$_2$O$_3$/GaN HEMTsIEDM2021 - IEEE International Electron Devices Meeting, Dec 2021, San Francisco, United States. pp.39.4.1-39.4.4, ⟨10.1109/IEDM19574.2021.9720522⟩
Communication dans un congrès
hal-03762149
v1
|
|
Influence of series resistance on the experimental extraction of FinFET noise parameters2020 IEEE 33rd International Conference on Microelectronic Test Structures (ICMTS), May 2020, Edinburgh, United Kingdom. pp.1-4, ⟨10.1109/ICMTS48187.2020.9107908⟩
Communication dans un congrès
hal-02969736
v1
|
Integrated Variability Measurements of 28 nm FDSOI MOSFETs down to 4.2 K for Cryogenic CMOS Applications2020 IEEE 33rd International Conference on Microelectronic Test Structures (ICMTS), May 2020, Edinburgh, United Kingdom. ⟨10.1109/ICMTS48187.2020.9107906⟩
Communication dans un congrès
hal-02986756
v1
|
|
RF Performance of a Fully Integrated 3D Sequential Technology2019 IEEE International Electron Devices Meeting (IEDM), Dec 2019, San Francisco, United States. pp.25.1.1-25.1.4, ⟨10.1109/IEDM19573.2019.8993512⟩
Communication dans un congrès
cea-04777738
v1
|
|
Variability evaluation of 28nm FD-SOI technology at cryogenic temperatures down to 100mK for quantum computing2020 IEEE Symposium on VLSI Technology, Jun 2020, Honolulu, United States. ⟨10.1109/VLSITechnology18217.2020.9265034⟩
Communication dans un congrès
hal-03211508
v1
|
|
28nm FDSOI CMOS Technology (FEOL and BEOL) Thermal Stability for 3D Sequential Integration: Yield and Reliability Analysis2020 IEEE Symposium on VLSI Technology, Jun 2020, Honolulu, United States. pp.1-2, ⟨10.1109/VLSITechnology18217.2020.9265075⟩
Communication dans un congrès
cea-04521647
v1
|
|
All-Operation-Regime Characterization and Modeling of Drain Current Variability in Junctionless and Inversion-Mode FDSOI Transistors2020 IEEE Symposium on VLSI Technology, Jun 2020, Honolulu, United States. pp.1-2, ⟨10.1109/VLSITechnology18217.2020.9265036⟩
Communication dans un congrès
cea-04905872
v1
|
|
|
Low temperature high voltage analog devices in a 3D sequential integration2020 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Aug 2020, Hsinchu, Taiwan. pp.155-156, ⟨10.1109/VLSI-TSA48913.2020.9203691⟩
Communication dans un congrès
hal-02969743
v1
|
Poisson-Schrödinger simulation of inversion charge in FDSOI MOSFET down to 0K - Towards compact modeling for cryo CMOS applicationEUROSOI-ULIS 2020 - Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon, Sep 2020, Caen, France. pp.1-4, ⟨10.1109/EUROSOI-ULIS49407.2020.9365297⟩
Communication dans un congrès
hal-04821261
v1
|
|
Investigation of nBTI degradation on GaN-on-Si E-mode MOSc-HEMT2019 IEEE International Electron Devices Meeting (IEDM), Dec 2019, San Francisco, United States. pp.4.3.1-4.3.4, ⟨10.1109/IEDM19573.2019.8993588⟩
Communication dans un congrès
cea-04777991
v1
|
|
Y-unction based methodology for accurate statistical extraction of HEMT device parameters for GaN technologyEUROSOI-ULIS 2020 - Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon, Sep 2020, Caen, France. pp.1-4, ⟨10.1109/EUROSOI-ULIS49407.2020.9365637⟩
Communication dans un congrès
cea-03167130
v1
|
|
Characterization Methodology and Physical Compact Modeling of in-Wafer Global and Local Variability2018 IEEE International Electron Devices Meeting (IEDM), Dec 2018, San Francisco, United States. pp.17.1.1-17.1.4, ⟨10.1109/IEDM.2018.8614589⟩
Communication dans un congrès
hal-02050415
v1
|
|
|
Inter-tier Dynamic Coupling and RF Crosstalk in 3D Sequential Integration2019 IEEE International Electron Devices Meeting (IEDM), Dec 2019, San Francisco, United States. pp.3.4.1-3.4.4, ⟨10.1109/IEDM19573.2019.8993493⟩
Communication dans un congrès
hal-02969757
v1
|
Statistical Characterization and Modelling of Gate-Induced Drain Leakage Variability in Advanced FDSOI Devices2019 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2019, San Jose, United States. pp.1-2, ⟨10.1109/S3S46989.2019.9320739⟩
Communication dans un congrès
hal-03260930
v1
|
|
Reliability and Variability of 1S1R OxRAM-OTS for High Density Crossbar Integration2019 IEEE International Electron Devices Meeting (IEDM), Dec 2019, San Francisco, United States. pp.35.3.1-35.3.4, ⟨10.1109/IEDM19573.2019.8993439⟩
Communication dans un congrès
hal-03029486
v1
|
|
Integration of OTS based back-end selector with HfO 2 OxRAM for crossbar arrays2019 IEEE 11th International Memory Workshop (IMW), May 2019, Monterey, United States. pp.1-4, ⟨10.1109/IMW.2019.8739746⟩
Communication dans un congrès
cea-04797602
v1
|
|
Influence of Gate Length on pBTI in GaN-on-Si E-Mode MOSc-HEMT2019 IEEE International Reliability Physics Symposium (IRPS), Mar 2019, Monterey, United States. pp.1-6, ⟨10.1109/IRPS.2019.8720554⟩
Communication dans un congrès
cea-04797646
v1
|
|
Potential of nanonets for the 3D integration of biosensors on CMOS (invited)Journées Nationales Nanofils Semiconducteurs Journées Nationales Nanofils Semiconducteurs, Lyon, 13-15 Nov. 2019, Nov 2019, Lyon, France
Communication dans un congrès
hal-02400730
v1
|
|
Series Resistance Effects on the Back-Gate Biased Operation of Junctionless Transistors (poster)2019 Joint International EuroSOI Workshop and International Conference on Ultimate Integration on Silicon (EuroSOI-ULIS), Apr 2019, Grenoble, France. Actes pp. 36-37, ⟨10.1109/EUROSOI-ULIS45800.2019.9041921⟩
Communication dans un congrès
hal-02400587
v1
|
|
Potential of semiconducting nanonets for the 3D CMOS integration of biosensorsJ2N : journées nationales des nanofils semiconducteurs, Nov 2019, Lyon, France
Communication dans un congrès
hal-04756792
v1
|
|
Effect of wet treatments on the electrical properties of Al2O3/GeSn MOS capacitorsEMRS Fall Meeting, 2019, EMRS Fall Meeting 2019 (Varsovie, Pologne), Poland
Communication dans un congrès
hal-02332929
v1
|
|
Development of X-ray Photoelectron Spectroscopy under bias and its application to determine band-energies and dipoles in the HKMG stack2018 IEEE International Electron Devices Meeting (IEDM), Dec 2018, San Francisco, United States. pp.17.6.1-17.6.4, ⟨10.1109/IEDM.2018.8614554⟩
Communication dans un congrès
cea-04805567
v1
|
|
|
Low-frequency Noise and Random Telegraph Noise in Nanoscale Devices: Modeling and Impact on Circuit Operation25th International Conference on Noise and Fluctuations ICNF 2019, EPFL, Jun 2019, Grenoble, France
Communication dans un congrès
hal-02969721
v1
|
Low Temperature Electrical Characteristics of Si Nanonet Field-Effect Transistors2019 Joint International EuroSOI Workshop and International Conference on Ultimate Integration on Silicon (EuroSOI-ULIS), Apr 2019, Grenoble, France. Actes pp. 132-133
Communication dans un congrès
hal-02400540
v1
|
|
On the influence of gate length on pBTI in GaN-on-Si E-mode MOSc-HEMT2019 IEEE International Reliability Physics Symposium (IRPS), Mar 2019, Monterey, United States. pp.7A.1 session WB GaN
Communication dans un congrès
hal-02052343
v1
|
|
|
A 4-Terminal Method for Oxide and Semiconductor Trap Characterization in FDSOI MOSFETs25th International Conference on Noise and Fluctuations ICNF 2019, EPFL, Jun 2019, Neuchatel, Switzerland
Communication dans un congrès
hal-02963338
v1
|
Characterization and modelling of nanonet-based field-effect transistors in the presence of percolating effectsNanoelectronics and Smart Systems Technologies for Future Applications (Joint SiNano-NEREID-Nanonets2Sense-Convergence Workshop at ESSDERC 2018), Sep 2018, Dresden, Germany
Communication dans un congrès
hal-02401189
v1
|
|
Static and Low Frequency Noise Characterization of InGaAs MOSFETs and FinFETs on Insulator2018 ESSDERC - 48th European Solid-State Device Research Conference (ESSDERC), Sep 2018, Dresden, Germany. pp.166-169, ⟨10.1109/ESSDERC.2018.8486851⟩
Communication dans un congrès
hal-02002326
v1
|
|
Performance & reliability of 3D architectures (πfet, Finfet, Ωfet)2018 IEEE International Reliability Physics Symposium (IRPS), Mar 2018, Burlingame, United States. pp.6F.3-1-6F.3-6, ⟨10.1109/IRPS.2018.8353647⟩
Communication dans un congrès
hal-02050279
v1
|
|
Analysis and modelling of wafer level process variability in advanced FD-SOI devices using split C-V and gate current dataModeling of Systems and Parameter Extraction Working (MOS-AK), ESSDERC/ESSCIRC, Sep 2018, Dresden, Germany
Communication dans un congrès
hal-02051931
v1
|
|
|
Doping profile extraction in thin SOI films: application to A2RAM2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Mar 2018, Granada, Spain. pp.1-4, ⟨10.1109/ULIS.2018.8354339⟩
Communication dans un congrès
cea-02270895
v1
|
Performance and Reliability of a Fully Integrated 3D Sequential Technology2018 IEEE Symposium on VLSI Technology, Jun 2018, Honolulu, United States. pp.75-76, ⟨10.1109/VLSIT.2018.8510625⟩
Communication dans un congrès
hal-02050384
v1
|
|
Low-frequency noise in surface-treated AlGaN/GaN HFETs2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Mar 2018, Granada, Spain. pp.77-80, ⟨10.1109/ULIS.2018.8354738⟩
Communication dans un congrès
hal-02002314
v1
|
|
Impact of low-temperature CoolcubeTM process on the performance of FDSOI Tunnel FETs2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2018, San Francisco, United States. pp.5.4, ⟨10.1109/S3S.2018.8640190⟩
Communication dans un congrès
hal-02010233
v1
|
|
A self-Contained Defect-Aware Module for Realistic Simulations of LFN, RTN and Time-Dependent Variability in FD-SOI Devices and Circuits2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2018, San Francisco, United States. pp.20.3, ⟨10.1109/S3S.2018.8640191⟩
Communication dans un congrès
hal-02010259
v1
|
|
Analytical expression of top surface charge sensitivity in fully depleted semiconductor on insulator MOS transistorInternational Workshop on Semi-conducting Nanometerials for Health, Environment and Security Applications, Nov 2018, Grenoble, France
Communication dans un congrès
hal-02052299
v1
|
|
Detailed analysis of frequency-dependent impedance in pseudo-MOSFET on thin SOI film2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Mar 2018, Granada, Spain. pp.221-224, ⟨10.1109/ULIS.2018.8354774⟩
Communication dans un congrès
hal-02007662
v1
|
|
Stability and in depth characterization of low-voltage organic thin film transistors based on low-k/high-k bilayer dielectricInnovations in large-Area Electronics Conference (InnoLAE), Jan 2018, Hinxton, United Kingdom
Communication dans un congrès
hal-02051882
v1
|
|
Innovative tunnel FET architectures2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Mar 2018, Granada, Spain. pp.25-28, ⟨10.1109/ULIS.2018.8354725⟩
Communication dans un congrès
hal-02007301
v1
|
|
Experimental and theoretical investigation of RRAM endurance statistical behavior49th IEEE Semiconductor Interface Specialists Conference (SISC), IEEE, Dec 2018, San Diego, United States. pp.13.2
Communication dans un congrès
hal-02052290
v1
|
|
Finite element simulation of 2D percolating silicon-nanonet field-effect transistor2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Mar 2018, Granada, Spain. pp.165-168, ⟨10.1109/ULIS.2018.8354760⟩
Communication dans un congrès
hal-02016613
v1
|
|
Impact of CMOS TiN Metal Gate Process on Microstructure and Its Correlation with Electrical Properties2018 MRS Fall Meeting & Exhibit: Symposium PM07—Plasma-Based Synthesis, Processing and Characterization of Novel Materials for Advanced Applications, M. Sankaran, D. Mariotti, T. Nozaki, C.C. Wu, Nov 2018, Boston, United States
Communication dans un congrès
hal-02052429
v1
|
|
Investigation of the role of back barrier depth and conductivity on the dynamic Ron and substrate ramping behavior of GaN Schottky diodes on silicon substrate20th European Conference on Power Electronics and Applications (EPE'18 ECCE Europe), Sep 2018, Riga, Latvia
Communication dans un congrès
hal-02050406
v1
|
|
Analysis of Gate Current Wafer Level Variability in Advanced FD-SOI MOSFETs2018 ESSDERC - 48th European Solid-State Device Research Conference (ESSDERC), Sep 2018, Dresden, Germany. pp.242-245, ⟨10.1109/ESSDERC.2018.8486847⟩
Communication dans un congrès
hal-02065295
v1
|
|
Statistical analysis of CBRAM endurance2018 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Apr 2018, Hsinchu, Taiwan. pp.115-116, ⟨10.1109/VLSI-TSA.2018.8403856⟩
Communication dans un congrès
hal-02050364
v1
|
|
New insights on device level TDDB at GHz speed in advanced CMOS nodes2018 IEEE International Integrated Reliability Workshop, Oct 2018, Fallen Leaf Lake, United States
Communication dans un congrès
hal-02052398
v1
|
|
High temperature investigation of electron transport properties in 2DEG AlGaN/AlN/GaN MIS-HEMT wafer adapted from four-point probe technique20th Conference of Insulating Films on Semiconductors (INFOS 2017), Jun 2017, Potsdam, Germany
Communication dans un congrès
hal-02009848
v1
|
|
Sensitivity analysis of C-V global variability for 28 nm FD-SOIEUROSOI-ULIS - 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon, Apr 2017, Athens, Greece. pp.132-135, ⟨10.1109/ULIS.2017.7962582⟩
Communication dans un congrès
hal-02050213
v1
|
|
Systematic evaluation of the split C-V based parameter extraction methodologies for 28 nm FD-SOI2017 International Conference of Microelectronic Test Structures (ICMTS), Mar 2017, Grenoble, France. pp.59-63, ⟨10.1109/ICMTS.2017.7954267⟩
Communication dans un congrès
hal-02050209
v1
|
|
Effect of La and Al addition used for threshold voltage shift on the BTI reliability of HfON-based FDSOI MOSFETs2017 IEEE International Reliability Physics Symposium (IRPS), Apr 2017, Monterey, United States. pp.2B-2.1-2B-2.7, ⟨10.1109/IRPS.2017.7936258⟩
Communication dans un congrès
hal-02050203
v1
|
|
Self-heating assessment and cold current extraction in FDSOI MOSFETs2017 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2017, Burlingame, United States. pp.6.4, ⟨10.1109/S3S.2017.8309239⟩
Communication dans un congrès
hal-02050233
v1
|
|
Towards 500°C SPER activated devices for 3D sequential integration2017 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2017, Burlingame, CA, United States. pp.24.3, ⟨10.1109/S3S.2017.8309220⟩
Communication dans un congrès
hal-01959113
v1
|
|
(Invited) Dipoles in Gate-Stack/FDSOI Structure232nd ECS Meeting: 15th Symposium on Seminconductors, Dielectrics and Metals for Nanoelectronics, D. Misra, S. De Gendt, M. Houssa K. Kita and D. Landheer, Oct 2017, Washington DC, United States
Communication dans un congrès
hal-02051924
v1
|
|
Comparison of RTN and TDDS methods for trap extraction in trigate nanowires2017 IEEE International Reliability Physics Symposium (IRPS), Apr 2017, Monterey, United States. pp.3E-4.1-3E-4.6, ⟨10.1109/IRPS.2017.7936297⟩
Communication dans un congrès
hal-02050205
v1
|
|
A microsecond time resolved current collapse test setup dedicated to GaN-based Schottky diode characterization2017 International Conference of Microelectronic Test Structures (ICMTS), Mar 2017, Grenoble, France. pp.150-153, ⟨10.1109/ICMTS.2017.7954286⟩
Communication dans un congrès
hal-02050207
v1
|
|
High performance low temperature FinFET with DSPER, gate last and Self Aligned Contact for 3D sequential mtegration2017 IEEE International Electron Devices Meeting (IEDM), Dec 2017, San Francisco, United States. pp.32.2.1-32.2.4, ⟨10.1109/IEDM.2017.8268484⟩
Communication dans un congrès
hal-01959097
v1
|
|
New insight on the geometry dependence of BTI in 3D technologies based on experiments and modeling2017 IEEE Symposium on VLSI Technology, Jun 2017, Kyoto, Japan. pp.T134-T135, ⟨10.23919/VLSIT.2017.7998152⟩
Communication dans un congrès
hal-02050216
v1
|
|
Ultra-low power 1T-DRAM in FDSOI technology20th International Conference on Insulating Films on Semiconductors (INFOS 2017), Jun 2017, Potsdam, Germany
Communication dans un congrès
hal-02071682
v1
|
|
First SOI Tunnel FETs with low-temperature process2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2017, Athens, Greece. pp.9-12, ⟨10.1109/ULIS.2017.7962579⟩
Communication dans un congrès
hal-02007188
v1
|
|
Thermal effects in 3D sequential technology2017 IEEE International Electron Devices Meeting (IEDM), Dec 2017, San Francisco, United States. pp.7.6.1-7.6.4, ⟨10.1109/IEDM.2017.8268348⟩
Communication dans un congrès
hal-02050229
v1
|
|
|
Precise EOT regrowth extraction enabling performance analysis of Low Temperature Extension First devices2017 ESSDERC - 47th European Solid-State Device Research Conference, Sep 2017, Leuven, Belgium. pp.144-147, ⟨10.1109/ESSDERC.2017.8066612⟩
Communication dans un congrès
cea-01525266
v1
|
Electrical characterization of percolating silicon nanonet FETs for sensing applications2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2017, Athens, Greece. pp.23-26, ⟨10.1109/ULIS.2017.7962591⟩
Communication dans un congrès
hal-01929333
v1
|
|
Low temperature measurements on organic thin film transistors2017 E-MRS Spring Meeting: Symposium L:New materials for organic electronics: from synthesis to processing, characterization and device physics, C. Muller, E. Von Hauf, M. Caironi, M. Sommer, May 2017, Strasbourg, France
Communication dans un congrès
hal-02072323
v1
|
|
Impact of strain on access resistance in planar and nanowire CMOS devices2017 IEEE Symposium on VLSI Technology, Jun 2017, Kyoto, Japan. pp.T224-T225, ⟨10.23919/VLSIT.2017.7998180⟩
Communication dans un congrès
hal-02050220
v1
|
|
Assessment of GeSn surface wet treatment for prior to Atomic Layer Deposition of High-k Dielectrics2017 MRS Fall Meeting & Exhibit: Symposium PM03 : Interfaces and Interface Engineering in Inorganic Materials, Y. Chen, E. Bitzek, M.T. Perez Prado, D. Rowenhorst, Nov 2017, Boston, United States
Communication dans un congrès
hal-01929247
v1
|
|
Reliability analysis on low temperature gate stack process steps for 3D sequential integration2017 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2017, Burlingame, United States. pp.24.2, ⟨10.1109/S3S.2017.8309219⟩
Communication dans un congrès
hal-02050231
v1
|
|
Statistical characterization and modeling of drain current local and global variability in 14 nm bulk FinFETs2017 International Conference of Microelectronic Test Structures (ICMTS), Mar 2017, Grenoble, France. pp.41-45, ⟨10.1109/ICMTS.2017.7954263⟩
Communication dans un congrès
hal-02002304
v1
|
|
Novel C-V measurements based method for the extraction of GaN buffer layer residual doping level in HEMT2017 International Conference of Microelectronic Test Structures (ICMTS), Mar 2017, Grenoble, France. pp.154-157, ⟨10.1109/ICMTS.2017.7954287⟩
Communication dans un congrès
hal-02009829
v1
|
|
Growth and integration of group IV nanowires for Tunnel FET devicesCollaborative Conference on Materials Research (CCMR 2017), Jun 2017, Jeju island, South Korea
Communication dans un congrès
hal-01891328
v1
|
|
Static and low frequency noise characterization of ultra-thin body InAs MOSFETs2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2017, Athens, Greece. pp.105-108, ⟨10.1109/ULIS.2017.7962613⟩
Communication dans un congrès
hal-02050212
v1
|
|
Impact of access resistance on New-Y function methodology for MOSFET parameter extraction in advanced FD-SOI technology2017 International Conference of Microelectronic Test Structures (ICMTS), Mar 2017, Grenoble, France. pp.68-72, ⟨10.1109/ICMTS.2017.7954269⟩
Communication dans un congrès
hal-01959125
v1
|
|
Statistical low-frequency noise characterization in sub-15 nm Si/SiGe nanowire Trigate pMOSFETs2017 International Conference of Microelectronic Test Structures (ICMTS), Mar 2017, Grenoble, France. pp.141-145, ⟨10.1109/ICMTS.2017.7954284⟩
Communication dans un congrès
hal-02002297
v1
|
|
Optimisation of the catalytic system towards well-defined donor-acceptor semiconductor polymersEuropean Congress and Exhibit on Advanced Materials and Processes (EUROMAT 2017), symposium C.11 Processes and Materials for Nanoelectronics, D. Tsoukalas, M. Fanciulli and A. Claverie, Sep 2017, Thessalonique, Greece
Communication dans un congrès
hal-02068513
v1
|
|
Noise-induced dynamic variability in nano-scale CMOS SRAM cells2016 ESSDERC - 46th European Solid-State Device Research Conference, Sep 2016, Lausanne, Switzerland. pp.256-259, ⟨10.1109/ESSDERC.2016.7599634⟩
Communication dans un congrès
hal-02002272
v1
|
|
Impact of low thermal processes on reliability of HK/MG stacks19th Workshop on Dielectrics in Microelectronics (WoDIM), Jun 2016, Catania, Italy
Communication dans un congrès
hal-02051859
v1
|
|
RAPIDO Testing of Assisted Write and Read operations for Ultra-Low Power SRAMsW07 International Workshop on Emerging Memory Solutions, Mar 2016, Dresden, Germany
Communication dans un congrès
hal-02051768
v1
|
|
Low Temperature Characterization of Hole Mobility in Sub-14nm Gate Length Si 0.7 Ge 0.3 Tri-Gate pMOSFETs12th International Workshop on Low Temperature Electronics (WOLTE-12), Sep 2016, Tempe, United States
Communication dans un congrès
hal-02072793
v1
|
|
High temperature investigation of electron transport properties in 2DEG AlGaN/AlN/GaN MIS-HEMT19th Workshop on Dielectrics in Microelectronics (WoDIM), Jun 2016, Catania, Italy
Communication dans un congrès
hal-02009860
v1
|
|
Improved analysis of NBTI relaxation behavior based on fast I–V measurement2016 IEEE International Integrated Reliability Workshop (IIRW), Oct 2016, South Lake Tahoe, United States. pp.83-86, ⟨10.1109/IIRW.2016.7904908⟩
Communication dans un congrès
hal-02050198
v1
|
|
High performance CMOS FDSOI devices activated at low temperature2016 IEEE Symposium on VLSI Technology, Jun 2016, Honolulu, United States. ⟨10.1109/VLSIT.2016.7573407⟩
Communication dans un congrès
hal-01730659
v1
|
|
Hot carrier degradation in nanowire transistors: Physical mechanisms, width dependence and impact of Self-Heating2016 IEEE Symposium on VLSI Technology, Jun 2016, Honolulu, United States. pp.39-40, ⟨10.1109/VLSIT.2016.7573374⟩
Communication dans un congrès
hal-02050194
v1
|
|
Doping Solutions for Advanced CMOS high-k / metal Gate EngineeringBIT’s 2nd Annual World Congress of Smart Materials-2016, Mar 2016, Singapore, Singapore
Communication dans un congrès
hal-02051782
v1
|
|
Characterization and modeling of NBTI permanent and recoverable components variability2016 IEEE International Reliability Physics Symposium (IRPS), Apr 2016, Pasadena, United States. pp.XT-08-1-XT-08-6, ⟨10.1109/IRPS.2016.7574650⟩
Communication dans un congrès
hal-02050196
v1
|
|
Drain current local variability from linear to saturation region in 28nm bulk NMOSFETs2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2016, Vienna, Austria. pp.92-95, ⟨10.1109/ULIS.2016.7440060⟩
Communication dans un congrès
hal-02002291
v1
|
|
New access resistance extraction methodology for 14nm FD-SOI technology2016 International Conference on Microelectronic Test Structures (ICMTS), Mar 2016, Yokohama, Japan. pp.70-75, ⟨10.1109/ICMTS.2016.7476177⟩
Communication dans un congrès
hal-01959130
v1
|
|
Vertical CBRAM (V-CBRAM): From Experimental Data to Design Perspectives2016 IEEE 8th International Memory Workshop (IMW), May 2016, Paris, France. ⟨10.1109/IMW.2016.7495296⟩
Communication dans un congrès
hal-01451884
v1
|
|
Challenges in electrical characterization of nano devicesNEREID Domain Workshop: Task "Nanoscale FETs", F. Balestra and Anda Mocuta, Sinano Summer School 2016, Oct 2016, Bertinoro, Italy
Communication dans un congrès
hal-02078235
v1
|
|
MBE-grown oxides-based resistive switching memristive devices19th Workshop on Dielectrics in Microelectronics (WoDIM), Jun 2016, Catania, Italy
Communication dans un congrès
hal-02051846
v1
|
|
Hot carrier stress: Aging modeling and analysis of defect location2016 IEEE International Reliability Physics Symposium (IRPS), Apr 2016, Pasadena, United States. pp.5A-4-1-5A-4-6, ⟨10.1109/IRPS.2016.7574546⟩
Communication dans un congrès
hal-02050195
v1
|
|
RAPIDO Testing and Modeling of Assisted Write and Read Operations for SRAMs2016 IEEE 25th North Atlantic Test Workshop (NATW), May 2016, Providence, United States. pp.28-33, ⟨10.1109/NATW.2016.14⟩
Communication dans un congrès
hal-02050193
v1
|
|
Robust EOT and effective work function extraction for 14 nm node FDSOI technology2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2016, Vienna, Austria. pp.135-138, ⟨10.1109/ULIS.2016.7440071⟩
Communication dans un congrès
hal-02050084
v1
|
|
Understanding RRAM endurance, retention and window margin trade-off using experimental results and simulations2016 IEEE International Electron Devices Meeting (IEDM), Dec 2016, San Francisco, United States. pp.4.5.1-4.5.4, ⟨10.1109/IEDM.2016.7838346⟩
Communication dans un congrès
hal-01882789
v1
|
|
Statistical characterization of drain current local and global variability in sub 15nm Si/SiGe Trigate pMOSFETs2016 ESSDERC - 46th European Solid-State Device Research Conference, Sep 2016, Lausanne, Switzerland. pp.142-145, ⟨10.1109/ESSDERC.2016.7599607⟩
Communication dans un congrès
hal-02002267
v1
|
|
Electrical characterization of FDSOI CMOS devices2016 ESSDERC - 46th European Solid-State Device Research Conference, Sep 2016, Lausanne, Switzerland. pp.135-141, ⟨10.1109/ESSDERC.2016.7599606⟩
Communication dans un congrès
hal-02050197
v1
|
|
Full front and back split C-V characterization of CMOS devices from 14nm node FDSOI technology2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2015, Rohnert Park, United States. pp.9a.4, ⟨10.1109/S3S.2015.7333546⟩
Communication dans un congrès
hal-02049810
v1
|
|
Comparison between recoverable and permanent NBTI variability components2015 IEEE International Integrated Reliability Workshop (IIRW), Oct 2015, South Lake Tahoe, United States. pp.87-90, ⟨10.1109/IIRW.2015.7437074⟩
Communication dans un congrès
hal-02049829
v1
|
|
Effective work function engineering by sacrificial lanthanum diffusion on HfON-based 14 nm NFET devices2015 ESSDERC - 45th European Solid-State Device Research Conference, Sep 2015, Graz, Austria. pp.246-249, ⟨10.1109/ESSDERC.2015.7324760⟩
Communication dans un congrès
hal-02049792
v1
|
|
Quantum simulation of mobility and current enhancement in sub-14nm strained SiGe FD-pMOSFETsGRDi CNRS Mecano: Mechanical Issues for Advanced Electron Devices Workshop, M. Mouis, O. Thomas, E. Zschech, C. Paitel, Jun 2015, Grenoble, France
Communication dans un congrès
hal-02068476
v1
|
|
Influence of epitaxy and gate deposition process on Ron resistance of AlGaN/GaN-on-Si HEMT2015 27th IEEE International Symposium on Power Semiconductor Devices & IC's (ISPSD), May 2015, Hong Kong, China. pp.261-264, ⟨10.1109/ISPSD.2015.7123439⟩
Communication dans un congrès
hal-02009897
v1
|
|
Analysis of the Resistance Drift of Polycrystalline Phase-Change Materials by Low Frequency Noise Measurementtration2015 MRS Spring Meeting & Exhibit: Symposium Y: Phase-Change Materials for Data Storage, Cognitive Processing and Photonics Applications, R. Agarwal, H.Y. Cheng, R. Mazzarello, R. Simpson, Apr 2015, San Francisco, United States
Communication dans un congrès
cea-02051740
v1
|
|
Quasi-static capacitance measurements in pseudo-MOSFET configuration for D<inf>it</inf> extraction in SOI wafers2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2015, Bologna, Italy. pp.249-252, ⟨10.1109/ULIS.2015.7063820⟩
Communication dans un congrès
hal-02004087
v1
|
|
Physical understanding of low frequency degradation of NMOS TDDB in High-k metal gate stack-based technology. Implication on lifetime assessment2015 IEEE International Reliability Physics Symposium (IRPS), Apr 2015, Monterey, United States. pp.5A.5.1-5A.5.5, ⟨10.1109/IRPS.2015.7112740⟩
Communication dans un congrès
hal-02049599
v1
|
|
New LFN and RTN analysis methodology in 28 and 14nm FD-SOI MOSFETs2015 IEEE International Reliability Physics Symposium (IRPS), Apr 2015, Monterey, United States. pp.XT.1.1-XT.1.6, ⟨10.1109/IRPS.2015.7112833⟩
Communication dans un congrès
hal-02002118
v1
|
|
Full front and back gate voltage range method for the parameter extraction of advanced FDSOI CMOS devices2015 73rd Annual Device Research Conference (DRC), Jun 2015, Columbus, United States. pp.115-116, ⟨10.1109/DRC.2015.7175582⟩
Communication dans un congrès
hal-02001968
v1
|
|
Investigation of Ta2O5-Based Hybrid OXRAM-CBRAM Including a Ti Layer to Generate Oxygen Vacancies.EMRS, 2015, 2015, Lille, France
Communication dans un congrès
hal-01877911
v1
|
|
Hot carrier degradation modeling of short-channel n-FinFETs2015 73rd Annual Device Research Conference (DRC), Jun 2015, Columbus, United States. pp.183-184, ⟨10.1109/DRC.2015.7175617⟩
Communication dans un congrès
hal-02049708
v1
|
|
Advanced 1T1R test vehicle for RRAM nanosecond-range switching-time resolution and reliability assessment2015 IEEE International Integrated Reliability Workshop (IIRW), Oct 2015, South Lake Tahoe, CA, United States. pp.17-20, ⟨10.1109/IIRW.2015.7437059⟩
Communication dans un congrès
hal-01959140
v1
|
|
High performance low temperature activated devices and optimization guidelines for 3D VLSI integration of FD, TriGate, FinFET on insulator2015 IEEE Symposium on VLSI Technology, Jun 2015, Kyoto, Japan. pp.T50-T51, ⟨10.1109/VLSIT.2015.7223699⟩
Communication dans un congrès
hal-02049770
v1
|
|
Effective work function modulation by sacrificial aluminium on HfON-based 14nm devices19th Conference on Insulating Films on semiconductors (INFOS), Jun 2015, Udine, Italy. pp.175-176
Communication dans un congrès
hal-02051742
v1
|
|
Hot carrier degradation modeling of short-channel n-FinFETs suitable for circuit applications4th International Conference on Modern Circuits and Systems Technologies (MOCAST), organized by the FP7 Marie Curie IAPP Project FTK, the Greek National projects NANOTRIM and NANOMOS and the Micro & Nano Scientific Society, May 2015, Thessaloniki, Greece
Communication dans un congrès
hal-02051771
v1
|
|
Hot carrier degradation mechanisms of short-channel FDSOI n-MOSFETs2015 73rd Annual Device Research Conference (DRC), Jun 2015, Columbus, United States. pp.163-164, ⟨10.1109/DRC.2015.7175607⟩
Communication dans un congrès
hal-02001979
v1
|
|
Modeling of OxRAM variability from low to high resistance state using a stochastic trap assisted tunneling-based resistor network2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2015, Bologna, Italy. pp.125, ⟨10.1109/ULIS.2015.7063789⟩
Communication dans un congrès
hal-01959186
v1
|
|
Fabrication and electrical characterisations of Si/Si1-xGex nanowires Tunnel FET device : impact of Germanium concentration2015 MRS Spring Meeting & Exhibit: Symposium S: Semiconductor Nanowires and Devices for Advanced Applications, J. Arbiol, K. Dick Thelander, M. Filler, A. Fontcuberta, Q. Xiong, Apr 2015, San Francisco, United States
Communication dans un congrès
cea-01998244
v1
|
|
Fabrication and Electrical Characterization of Si and Si/SiGe Nanowires Tunnel Field-Effect-Transistor4èmes Journées Nationales sur les Technologies Emergentes en Micro-Nanofabrication (JNTE 2015), Nov 2015, Ecully, France
Communication dans un congrès
hal-02072677
v1
|
|
|
Investigation of the potentialities of Vertical Resistive RAM (VRRAM) for neuromorphic applications2015 IEEE International Electron Devices Meeting (IEDM), Dec 2015, Washington, United States. pp.17.2.1-17.2.4, ⟨10.1109/IEDM.2015.7409717⟩
Communication dans un congrès
hal-01804658
v1
|
On the impact of OxRAM-based synapses variability on convolutional neural networks performance2015 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH´15), Jul 2015, Boston, MA, United States. pp.193-198, ⟨10.1109/NANOARCH.2015.7180611⟩
Communication dans un congrès
cea-01839851
v1
|
|
Hot Carrier Stress modeling: From degradation kinetics to trap distribution evolution2015 IEEE International Integrated Reliability Workshop (IIRW), Oct 2015, South Lake Tahoe, United States. pp.134-137, ⟨10.1109/IIRW.2015.7437086⟩
Communication dans un congrès
hal-02049860
v1
|
|
Low frequency noise statistical characterization of 14nm FDSOI technology node2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2015, Bologna, Italy. pp.181-184, ⟨10.1109/ULIS.2015.7063803⟩
Communication dans un congrès
hal-02002261
v1
|
|
Low-frequency noise in bare SOI wafers: Experiments and model2015 ESSDERC - 45th European Solid-State Device Research Conference, Sep 2015, Graz, Austria. pp.286-289, ⟨10.1109/ESSDERC.2015.7324770⟩
Communication dans un congrès
hal-02004194
v1
|
|
3DVLSI with CoolCube process: An alternative path to scaling2015 IEEE Symposium on VLSI Technology, Jun 2015, Kyoto, Japan. pp.T48-T49, ⟨10.1109/VLSIT.2015.7223698⟩
Communication dans un congrès
hal-02049760
v1
|
|
New compact model for performance and process variability assessment in 14nm FDSOI CMOS technology2015 International Conference on Microelectronic Test Structures (ICMTS), Mar 2015, Tempe, United States. pp.59-64, ⟨10.1109/ICMTS.2015.7106109⟩
Communication dans un congrès
hal-02049575
v1
|
|
Electrical Characterization of Advanced CMOS devices4th International Conference on Modern Circuits and Systems Technologies (MOCAST), organized by the FP7 Marie Curie IAPP Project FTK, the Greek National projects NANOTRIM and NANOMOS and the Micro & Nano Scientific Society, May 2015, Thessaloniki, Greece
Communication dans un congrès
hal-02051772
v1
|
|
CMOS roadmap analysis from the perspective of III-V technology using MASTAR2015 Silicon Nanoelectronics Workshop (SNW), Jun 2015, Kyoto, Japan. pp.115-116
Communication dans un congrès
hal-02049782
v1
|
|
Impact of the W scaling on bias temperature instability in nanowire transistors19th Conference on Insulating Films on semiconductors (INFOS), Jun 2015, Udine, Italy. pp.243-244
Communication dans un congrès
hal-02051750
v1
|
|
Optimization of Trigate-On-Insulator MOSFET aspect ratio with MASTAR2015 ESSDERC - 45th European Solid-State Device Research Conference, Sep 2015, Graz, Austria. pp.242-245, ⟨10.1109/ESSDERC.2015.7324759⟩
Communication dans un congrès
hal-01959154
v1
|
|
Development of analytical compact drain current model for28 nm FDSOI MOSFETs4th International Conference on Modern Circuits and Systems Technologies (MOCAST), organized by the FP7 Marie Curie IAPP Project FTK, the Greek National projects NANOTRIM and NANOMOS and the Micro & Nano Scientific Society, May 2015, Thessaloniki, Greece
Communication dans un congrès
hal-02051762
v1
|
|
Impact of short-channel effects on velocity overshoot in MOSFET2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS), Jun 2015, Grenoble, France. pp.521-524, ⟨10.1109/NEWCAS.2015.7182061⟩
Communication dans un congrès
hal-02049733
v1
|
|
Novel sheet resistance measurement on AlGaN/GaN HEMT wafer adapted from four-point probe technique2015 International Conference on Microelectronic Test Structures (ICMTS), Mar 2015, Tempe, United States. pp.163-168, ⟨10.1109/ICMTS.2015.7106134⟩
Communication dans un congrès
hal-02009889
v1
|
|
Analysis of the SET and RESET states drift of phase-change memories by low frequency noise measurements2015 IEEE International Reliability Physics Symposium (IRPS), Apr 2015, Monterey, United States. pp.MY.1.1-MY.1.5, ⟨10.1109/IRPS.2015.7112807⟩
Communication dans un congrès
hal-02049588
v1
|
|
The importance of the spacer region to explain short channels mobility collapse in 28nm Bulk and FDSOI technologies2014 ESSDERC - 44th European Solid-State Device Research Conference, Sep 2014, Venice, Italy. pp.254-257, ⟨10.1109/ESSDERC.2014.6948808⟩
Communication dans un congrès
hal-02049192
v1
|
|
UTBB FD-SOI front- and back-gate coupling aware random telegraph signal impact analysis on a 6T SRAM2014 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2014, Millbrae, United States. pp.7a.3, ⟨10.1109/S3S.2014.7028222⟩
Communication dans un congrès
hal-02049387
v1
|
|
Resistive switching of HfO2-based metal-insulator-metal devicesColloque de Recherche Inter Écoles Centrales (CRIEC 2014), Jun 2014, Ecully, France
Communication dans un congrès
hal-01489831
v1
|
|
Statistical analysis of dynamic variability in 28nm FD-SOI MOSFETs2014 ESSDERC - 44th European Solid-State Device Research Conference, Sep 2014, Venice, Italy. pp.214-217, ⟨10.1109/ESSDERC.2014.6948798⟩
Communication dans un congrès
hal-02001909
v1
|
|
A new approach for modeling drain current process variability applied to FDSOI technology2014 15th International Conference on Ultimate Integration on Silicon (ULIS), Apr 2014, Stockholm, Sweden. pp.93-96, ⟨10.1109/ULIS.2014.6813924⟩
Communication dans un congrès
hal-02048983
v1
|
|
A new gate pattern measurement for evaluating the BTI degradation in circuit conditions2014 IEEE International Reliability Physics Symposium (IRPS), Jun 2014, Waikoloa, United States. pp.5D.1.1-5D.1.5, ⟨10.1109/IRPS.2014.6860670⟩
Communication dans un congrès
hal-02049034
v1
|
|
Low-temperature characterization of Hall and effective mobility in junctionless transistors2014 11th International Workshop on Low Temperature Electronics (WOLTE), Jul 2014, Grenoble, France. pp.85-88, ⟨10.1109/WOLTE.2014.6881032⟩
Communication dans un congrès
hal-02016510
v1
|
|
Variability-tolerant Convolutional Neural Network for Pattern Recognition applications based on OxRAM synapses2014 IEEE International Electron Devices Meeting, Dec 2014, San Francisco, United States. pp.28.4.1-28.4.4, ⟨10.1109/IEDM.2014.7047126⟩
Communication dans un congrès
cea-01839848
v1
|
|
Horizontal Integration and Electrical Characterisation of Si/SiGe Nanowire Tunnel FETs2014 E-MRS Spring Meeting: Symposium X: Materials Research for Group IV semiconductors: Growth, Characterization & Techno, Developments, G. Kissinger, S. Pizzini, H. Yamada-Kaneta, C. Clayes, D. Yang and G. Wilson, May 2014, Lille, France
Communication dans un congrès
cea-02067074
v1
|
|
|
Effective field and universal mobility in high-k metal gate UTBB-FDSOI devices27th International Conference on Microelectronic Test Structures (ICMTS), Mar 2014, Udine, Italy. pp.8 - 13, ⟨10.1109/ICMTS.2014.6841460⟩
Communication dans un congrès
hal-01102474
v1
|
A review of the pseudo-MOSFET and recent developments18th Int. Workshop on Dielectrics in Microelectronics (WODIM 2014), Jun 2014, Kinsale, Ireland
Communication dans un congrès
hal-02009825
v1
|
|
Impact of quantum modulation of the inversion charge in the MOSFET subthreshold regime2014 ESSDERC - 44th European Solid-State Device Research Conference, Sep 2014, Venice, Italy. pp.286-289, ⟨10.1109/ESSDERC.2014.6948816⟩
Communication dans un congrès
hal-01959276
v1
|
|
Impact of Random Telegraph Signals on 6T high-density SRAM in 28nm UTBB FD-SOI2014 ESSDERC - 44th European Solid-State Device Research Conference, Sep 2014, Venice, Italy. pp.94-97, ⟨10.1109/ESSDERC.2014.6948766⟩
Communication dans un congrès
hal-02048975
v1
|
|
Split-CV for pseudo-MOSFET characterization: Experimental setups and associated parameter extraction methods2014 International Conference on Microelectronic Test Structures (ICMTS), Mar 2014, Udine, Italy. pp.14-19, ⟨10.1109/ICMTS.2014.6841461⟩
Communication dans un congrès
hal-02003797
v1
|
|
Cascode configuration as a substitute to LDE MOSFET for improved electrical mismatch performance2014 International Conference on Microelectronic Test Structures (ICMTS), Mar 2014, Udine, Italy. pp.238-242, ⟨10.1109/ICMTS.2014.6841499⟩
Communication dans un congrès
hal-02049001
v1
|
|
Experimental and theoretical understanding of Forming, SET and RESET operations in Conductive Bridge RAM (CBRAM) for memory stack optimization2014 IEEE International Electron Devices Meeting (IEDM), Dec 2014, San Francisco, United States. pp.6.5.1-6.5.4, ⟨10.1109/IEDM.2014.7046997⟩
Communication dans un congrès
hal-02049407
v1
|
|
Frequency dependence of TDDB & PBTI with OTF monitoring methodology in high-k/metal gate stacks2014 IEEE International Reliability Physics Symposium (IRPS), Jun 2014, Waikoloa, United States. pp.GD.6.1-GD.6.4, ⟨10.1109/IRPS.2014.6861149⟩
Communication dans un congrès
hal-02049042
v1
|
|
Surface effects on split C-V measurements on SOI wafers10th EUROSOI Workshop, Jan 2014, Tarragone, Spain
Communication dans un congrès
hal-01182138
v1
|
|
nFET FDSOI activated by low temperature solid phase epitaxial regrowth: Optimization guidelines2014 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2014, Millbrae, United States. pp.6a.3, ⟨10.1109/S3S.2014.7028214⟩
Communication dans un congrès
hal-02049393
v1
|
|
Electrical transport in thin film transistors with In-Zn-O channels doped with post-transition metalsJournées Nationales du GdR OXYFUN " Oxydes fonctionnels : du matériau au dispositif ", Jun 2014, Autrans, France
Communication dans un congrès
hal-01055034
v1
|
|
In depth characterization of hole transport in 14nm FD-SOI pMOS devices2014 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2014, Millbrae, United States. pp.6a.4, ⟨10.1109/S3S.2014.7028215⟩
Communication dans un congrès
hal-02016535
v1
|
|
In depth characterization of electron transport in 14nm FD-SOI nMOS devices10th EUROSOI Workshop, Jan 2014, Tarragone, Spain
Communication dans un congrès
hal-01182132
v1
|
|
Assessment of technological device parameters by low-frequency noise investigation in SOI omega-gate nanowire NMOS FETs2014 15th International Conference on Ultimate Integration on Silicon (ULIS), Apr 2014, Stockholm, Sweden. pp.57-60, ⟨10.1109/ULIS.2014.6813905⟩
Communication dans un congrès
hal-02048986
v1
|
|
Chemically improved high performance solution processed indium gallium zinc oxide thin-film transistors2014 E-MRS Spring Meeting Symposium I - Solution processing and properties of functional oxide thin films and nanostructures, J.E. ten Elshof, N. Mestres, A. Hardy, B. Malic, G.L.Brennecka, May 2014, Lille, France
Communication dans un congrès
hal-00957798
v1
|
|
Influence of Technological and Geometrical Parameters on Low-Frequency Noise in SOI Omega-Gate Nanowire NMOSFETs2014 International Symposium on VLSI Technology, Systems and Apllications, Proceedings of Technical Program (2014 VLSI-TSA), Apr 2014, Taiwan, China. pp.54-55, ⟨10.1109/VLSI-TSA.2014.6839653⟩
Communication dans un congrès
hal-01182167
v1
|
|
Fabrication and Electrical Characterization of tunnel FET Devices Based on Si/SiGe Heterojunction PIN nanowires2014 E-MRS Fall Meeting: Symposium B: Materials for Optics and Optoelectronics, G. Ben Assayag, M. Perego and P. Pellegrino, Sep 2014, Warsaw, Poland
Communication dans un congrès
cea-02067075
v1
|
|
(Invited) In Depth Study of Ge Impact on Advanced SiGe PMOS Transistors226th ECS and SMEQ Joint International Meeting: 12th Symposium on Semiconductors, Dielectrics and Metal for Nanoelectronics, S. Kar, M. Houssa, H. Jagannathan, K. Kita, D. Landheer, D. Misra and S. Van Elshocht, Oct 2014, Cacun, Mexico
Communication dans un congrès
hal-02051731
v1
|
|
Investigation of HfO<inf>2</inf>/Ti based vertical RRAM - Performances and variability2014 14th Non-Volatile Memory Technology Symposium (NVMTS), Oct 2014, Jeju Island, South Korea. pp.134-138, ⟨10.1109/NVMTS.2014.7060867⟩
Communication dans un congrès
hal-02049437
v1
|
|
A mobility enhancement strategy for sub-14nm power-efficient FDSOI technologies2014 IEEE International Electron Devices Meeting (IEDM), Dec 2014, San Francisco, United States. pp.7.2.1-7.2.4, ⟨10.1109/IEDM.2014.7047002⟩
Communication dans un congrès
hal-02049421
v1
|
|
Variability analysis — Prediction method for nanoscale triple gate FinFETs2014 IEEE 29th International Conference on Microelectronics (MIEL), May 2014, Belgrade, Serbia. pp.99-102, ⟨10.1109/MIEL.2014.6842095⟩
Communication dans un congrès
hal-02049021
v1
|
|
Insights in accesses optimization for nFET low temperature Fully Depleted Silicon On Insulator devices2014 14th International Workshop on Junction Technology (IWJT), May 2014, Shanghai, China. pp.33-38
Communication dans un congrès
hal-02049010
v1
|
|
Low temperature characterization of 14nm FDSOI CMOS devices2014 11th International Workshop on Low Temperature Electronics (WOLTE), Jul 2014, Grenoble, France. pp.29-32, ⟨10.1109/WOLTE.2014.6881018⟩
Communication dans un congrès
hal-02049067
v1
|
|
Low temperature characterization of mobility in advanced FD-SOI n-MOSFETs under interface coupling conditions2014 15th International Conference on Ultimate Integration on Silicon (ULIS), Apr 2014, Stockholm, Sweden. pp.61-64, ⟨10.1109/ULIS.2014.6813906⟩
Communication dans un congrès
hal-01182148
v1
|
|
Mismatch trends in 20nm gate-last bulk CMOS technology2014 15th International Conference on Ultimate Integration on Silicon (ULIS), Apr 2014, Stockholm, Sweden. pp.133-136, ⟨10.1109/ULIS.2014.6813916⟩
Communication dans un congrès
hal-02048981
v1
|
|
Transport and interface characterization in ultra-thin body MOS devicesINFOS 2013, Jun 2013, Cracow, Poland
Communication dans un congrès
hal-01074466
v1
|
|
On the Optimization of Ebeam Lithography Using Hydrogen Silsesquioxane (HSQ) for Innovative Self-Aligned CMOS Process223rd ECS Meeting, May 2013, Toronto, Canada
Communication dans un congrès
hal-01020074
v1
|
|
Strain transfer structure as a mobility booster for fully-depleted SOI MOSFETs at the 10nm node14th Int. Conference on Ultimate Integration on Silicon, Mar 2013, Warwick, United Kingdom. ⟨10.1109/ULIS.2013.6523490⟩
Communication dans un congrès
hal-01181854
v1
|
|
Effective mobility in extra-thin film and ultra-thin BOX SOI wafers.EuroSOI 2013, Jan 2013, Paris, France. pp.2.4
Communication dans un congrès
hal-01068430
v1
|
|
Low-Frequency noise behavior of n-channel UTBB FD-SOI MOSFETsICNF 2013 (Int. Conf. on Noise and Fluctuations, Montpellier, France (June 2013), Jun 2013, Montpellier, France. pp.1-4, ⟨10.1109/ICNF.2013.6578986⟩
Communication dans un congrès
hal-01025683
v1
|
|
Parameters extraction in SiGe/Si pMOSFETs using split CV technique2013 14th International Conference on Ultimate Integration on Silicon (ULIS), Mar 2013, Warwick, United Kingdom. ⟨10.1109/ULIS.2013.6523486⟩
Communication dans un congrès
hal-01181784
v1
|
|
Modeling of the impact of source/drain regions on short channel effects in MOSFETs14th Int. Conference on Ultimate Integration on Silicon, Mar 2013, Warwick, United Kingdom. ⟨10.1109/ULIS.2013.6523493⟩
Communication dans un congrès
hal-01181252
v1
|
|
High Field Transport Characterization in Nano MOSFETs using 10GHz capacitance measurementsIEDM 2013, Dec 2013, Washington, United States. pp.192-195, ⟨10.1109/IEDM.2013.6724583⟩
Communication dans un congrès
hal-01074181
v1
|
|
Simulation methodology for 2D random network of CNTs field-effect transistors14th Int. Conference on Ultimate Integration on Silicon, Mar 2013, Warwick, United Kingdom. pp.197-200,, ⟨10.1109/ULIS.2013.6523518⟩
Communication dans un congrès
hal-01181852
v1
|
|
Sheet resistance measurement on AlGaN/GaN wafers and dispersion studyINFOS 2013, Jun 2013, Cracow, Poland. pp.P.14
Communication dans un congrès
hal-01074271
v1
|
|
Evolution of low frequency noise and noise variability through CMOS bulk technology nodesICNF 2013 (Int. Conf. on Noise and Fluctuations), Jun 2013, Montpellier, France. pp.1-4, ⟨10.1109/ICNF.2013.6578985⟩
Communication dans un congrès
hal-01024616
v1
|
|
Impact of dynamic variability on SRAM functionality and performance in nanoscaled CMOS technologies.IRPS 2013, Apr 2013, Monterey, CA, United States. pp.389-393, ⟨10.1109/IRPS.2013.6532008⟩
Communication dans un congrès
hal-01074529
v1
|
|
Comparative simulation of TriGate and FinFET on SOI: Evaluating a multiple Threshold voltage strategy on triple gate devices2013 IEEE SOI-3D-Subthreshold Microelectronics Technology unified conference, Oct 2013, Monterey (CA), United States. ⟨10.1109/S3S.2013.6716523⟩
Communication dans un congrès
hal-01181250
v1
|
|
Impact of back biasing on the effective mobility in UTBB FDSOI CMOS technology2013 International Semiconductor Conference Dresden-Grenoble: Technology, Design, Packaging, Simulation and Test International Conference and table Top Exhibition (ISCDG 2013), Sep 2013, Dresden, Germany. ⟨10.1109/ISCDG.2013.6656324⟩
Communication dans un congrès
hal-01078796
v1
|
|
Electrical simulation and characterization of random networks of conducting 1D structuresfirst meeting on Transparent Conducting Materials, Nov 2013, grenoble, France
Communication dans un congrès
hal-01060935
v1
|
|
New insight on the frequency dependence of TDDB in high-k/metal gate stacksIRW 2013, Oct 2013, South Lake Tahoe, CA, United States. ⟨10.1109/IIRW.2013.6804142⟩
Communication dans un congrès
hal-01078341
v1
|
|
Scaling of Ω-gate SOI nanowire N- and P-FET down to 10nm gate length: Size- and orientation-dependent strain effects2013 Symposium on VLSI Technology: Digest of Technical Papers, Jun 2013, Kyoto, Japan. pp.T230-231
Communication dans un congrès
hal-01182112
v1
|
|
New methodology for drain current local variability characterization using Y function methodIEEE ICMTS 2013, Mar 2013, Osaka, Japan. pp.99-103, ⟨10.1109/ICMTS.2013.6528153⟩
Communication dans un congrès
hal-01024437
v1
|
|
Gate-Last Integration on planar FDSOI for low-VTp and low-EOT MOSFETsINFOS 2013, Jun 2013, Cracow, Poland. pp.6.3
Communication dans un congrès
hal-01074289
v1
|
|
Innovative through-Si 3D lithography for ultimate self-aligned planar Double-Gate and Gate-All-Around nanowire transistors2013 Symposium on VLSI Technology: Digest of Technical Papers, Jun 2013, Kyoto, Japan. pp.T226-T227
Communication dans un congrès
hal-01182106
v1
|
|
Horizontal Integration and electrical characterisation of Silicon Nanowire Tunnel FETsICONN 2013, 2013, Annecy, France
Communication dans un congrès
hal-00955750
v1
|
|
Understanding Ge impact on VT and VFB in Si1-xGex/Si pMOSFETsINFOS 2013, Jun 2013, Cracow, Poland. pp.15.4
Communication dans un congrès
hal-01074279
v1
|
|
Influence of Device Scaling on Low-Frequency Noise in SOI Tri-Gate N- and P-Type Si Nanowire MOSFETs43rd ESSDERC, Sep 2013, Bucarest, Romania. pp.303-307, ⟨10.1109/ESSDERC.2013.6818878⟩
Communication dans un congrès
hal-01022530
v1
|
|
Electrical characterization of ultra-thin silicon-on-insulator substrates : static and split C–V measurements in the Pseudo–MOSFET configuration4th Int. Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Technology (ULSIC ), Jul 2013, Villard de Lans, France. pp.203-208
Communication dans un congrès
hal-01182173
v1
|
|
Strain-enhanced performance of Si-Nanowire FETs223rd ECS Meeting, May 2013, Toronto, Canada
Communication dans un congrès
hal-01020599
v1
|
|
Study of Low-Frequency Noise in SOI Tri-gate Si Nanowire MOSFETsICNF 2013 (Int. Conf. on Noise and Fluctuations), Jun 2013, Montpellier, France. pp.1-4, ⟨10.1109/ICNF.2013.6578950⟩
Communication dans un congrès
hal-01024536
v1
|
|
Origins of the short channel effects increase in III-V nMOSFET technologies2012 13th International Conference on Ultimate Integration on Silicon (ULIS), Mar 2012, Grenoble, France
Communication dans un congrès
hal-01959380
v1
|
|
|
Physical Understanding of Program Injection and Consumption in Ultra-Scaled SiN Split-Gate Memories2012 4th IEEE International Memory Workshop (IMW), May 2012, Milan, France. ⟨10.1109/IMW.2012.6213686⟩
Communication dans un congrès
hal-01760589
v1
|
Impact of front-back gate coupling on low frequency noise in 28 nm FDSOI MOSFETsESSDERC 2012 - 42nd European Solid State Device Research Conference, Sep 2012, Bordeaux, France. pp.334-337, ⟨10.1109/ESSDERC.2012.6343401⟩
Communication dans un congrès
hal-02001870
v1
|
|
Front-back gate coupling effect on 1/f noise in ultra-thin Si film FDSOI MOSFETs2012 International Semiconductor Conference Dresden-Grenoble (ISCDG) - formerly known as the Semiconductor Conference Dresden (SCD), Sep 2012, Grenoble, France. pp.223-226, ⟨10.1109/ISCDG.2012.6360011⟩
Communication dans un congrès
hal-02001882
v1
|
|
New parameter extraction method based on split C-V for FDSOI MOSFETs2012 Proceedings of the European Solid-State Device Research Conference (ESSDERC), Sep 2012, Bordeaux, France. ⟨10.1109/ESSDERC.2012.6343372⟩
Communication dans un congrès
hal-01959345
v1
|
|
|
Scalability of split-gate charge trap memories down to 20nm for low-power embedded memories2011 IEEE International Electron Devices Meeting (IEDM), Dec 2011, Washington, United States. ⟨10.1109/IEDM.2011.6131522⟩
Communication dans un congrès
hal-01760601
v1
|
Contact Resistance in Top Gate / Bottom Contact OTFTs.Int. Conference on Organics Electronics, 2010, Paris, France
Communication dans un congrès
hal-00604542
v1
|
|
Impact of hot carrier stress on small signal MOSFET RF parametersIEEE Integrated Reliability Workshop IRW 2010, 2010, Lake Tahoe, United States
Communication dans un congrès
hal-00604565
v1
|
|
Mobility characterization in advanced FD-SOI CMOS devices1st Ukrainian-French Symposium 'Semiconductor-On-Insulator Materials, Devices and Circuits: Physics, Technology and Diagnostics, 2010, Kiev, Ukraine
Communication dans un congrès
hal-00604919
v1
|
|
Comparison of Radio Frequency Physical Vapor Deposition target material used for LaOx cap layer deposition in 32nmInt. Conf. materials for advanced metallization, MAM 2010, 2010, Malines, Belgium
Communication dans un congrès
hal-00604574
v1
|
|
Experimental Analysis of Surface Roughness Scattering in FinFET40th European Solid-State Device Research Conference,, Sep 2010, Sevilla, Spain. pp.305-308
Communication dans un congrès
hal-00604290
v1
|
|
Electrical characterisation of SOI nanodevicesEUROSOI Conference,, 2010, Grenoble, France
Communication dans un congrès
hal-00604524
v1
|
|
MOS Transistor Matching at Low Temperature for Analog Circuit Design9th Int. Workshop on Low Temperature Electronics, WOLTE 9, Jun 2010, Guaruja, Brazil
Communication dans un congrès
hal-00604738
v1
|
|
Investigation of the role of H-related defects in Al2O3 blocking layer on charge-trap memory retention by atomistic simulations and device physical modellingIEEE IEDM 2010,, 2010, San Francisco, CA, Spain
Communication dans un congrès
hal-00604550
v1
|
|
Static and low frequency noise characterization of P-type polymer and N-type small molecule OFETsInternational Conference on Organic Electronics,, Jun 2010, Paris, France
Communication dans un congrès
hal-00604546
v1
|
|
Layered HfSiON-based tunnel stacks for voltage reduction and improved reliability in TANOS memoriesProceedings of 2010 International Symposium on VLSI Technology, System and Application, Apr 2010, Hsin Chu, France. ⟨10.1109/VTSA.2010.5488949⟩
Communication dans un congrès
hal-01745640
v1
|
|
Parameter Extraction of Nano-Scale MOSFETs Using Modified Y Function Method40th European Solid-State Device Research Conference,, Sep 2010, Sevilla, Spain. pp.309-312
Communication dans un congrès
hal-00604302
v1
|
|
In-depth analysis of 3D Silicon nanowire SONOS memory characteristics by TCAD simulations2nd International Memory Workshop, 2010, South Korea
Communication dans un congrès
hal-00604552
v1
|
|
Ultra-Thin (4nm) Gate-All-Around CMOS devices with High-k/Metal for Low Power Multimedia ApplicationsSSDM 2010, 2010, tokyo, Japan
Communication dans un congrès
hal-00604648
v1
|
|
Low temperature characterization of different deep submicron SOI and FinFET devices9th Int. Workshop on Low Temperature Electronics, WOLTE 9, Jun 2010, Guaruja, Brazil
Communication dans un congrès
hal-00604735
v1
|
|
Characterization and modelling of device variability in advanced CMOS technologiesInt. workshop on Simulation and Characterization of Statistical CMOS Variability and Reliability, 2010, Bologna, Italy
Communication dans un congrès
hal-00604921
v1
|
|
Localized SOI Logic and Bulk I/O devices co-integration for Low Power System-on-Chip Technology.International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), 2010, Taiwan
Communication dans un congrès
hal-00604654
v1
|
|
Hybrid Localized SOI/Bulk technology for Low Power System-on-Chip.IEEE VLSI symposium 2010, 2010, Hawai, United States
Communication dans un congrès
hal-00604653
v1
|
|
Unified Soft Breakdown MOSFETs Compact Model: From Experiments to Circuit Simulation21st European Symposium on Reliability of Electron Devices, Failure Physics and Analysis, ESREF 2010,, 2010, Monte CassinO, Italy
Communication dans un congrès
hal-00604282
v1
|
|
Several Issues for Analog Design with a 0.18 µm CMOS Technology at Low Temperature9th Int. Workshop on Low Temperature Electronics, WOLTE 9, Jun 2010, Guaruja, Brazil
Communication dans un congrès
hal-00604739
v1
|
|
Novel characterization of fully-depleted GeOI pMOSFET by magnetoresistance.2010 IEEE International SOI Conference, Oct 2010, San Diego, California, United States
Communication dans un congrès
hal-00604645
v1
|
|
Improved extraction of effective electric field and hole mobility in Ge and GeOI MOSFETs.6th EUROSOI Workshop, Jan 2010, Grenoble, France
Communication dans un congrès
hal-00604533
v1
|
|
From DC to RF MOSFET reliability (45mn).13th European Microwave Week, 2010, Paris, France
Communication dans un congrès
hal-00604281
v1
|
|
Drain Current Variability in 45nm Heavily Pocket-implanted Bulk MOSFET: Characterization and Modeling40th European Solid-State Device Research Conference,, Sep 2010, Sevilla, Spain
Communication dans un congrès
hal-00604288
v1
|
|
Electrical Characterization of Si nanowiresInt. Workshop on silicon nanowires (NANOSIL), 2010, Louvain, Belgium
Communication dans un congrès
hal-00604917
v1
|
|
Dark Space, Quantum Capacitance and Inversion Capacitance in Si, Ge, GaAs and In0.53Ga0.47As nMOS CapacitorsInt. Conference on Ultimate Integration of Silicon, Mar 2010, Glasgow, UK, United Kingdom
Communication dans un congrès
hal-00604652
v1
|
|
Quantization Effects in Silicided and Metal Gate MOSFETs. ULISInt. Conference on Ultimate Integration of Silicon, Aachen, Mar 2009, Germany. pp.103-106
Communication dans un congrès
hal-00604243
v1
|
|
Vertical Transport in Spin Coated Ultra Thin Polycrystalline Pentacene Organic StacksInternational Electron Device Meeting, Dec 2009, Balitimore, United States
Communication dans un congrès
hal-00603814
v1
|
|
Intrinsic Cut Off Frequency of Si and GaAs Based Resonant Tunneling DiodesInt. Conference on Ultimate Integration of Silicon, Aachen, Mar 2009, Germany. pp.91-94
Communication dans un congrès
hal-00604239
v1
|
|
Charge Localization During Program and Retention in NROM-like Nonvolatile Memory Devices.International Conference on Solid State Devices and Materials (SSDM), 2009, Sendai, Japan
Communication dans un congrès
hal-00604215
v1
|
|
A stacked SONOS technology, up to 4 levels and 6nm crystalline nanowires, with gate-all-around or independent gates (Φ-Flash), suitable for full 3D integration.IEEE International Electron Devices Meeting, Baltimore,, Dec 2009, United States
Communication dans un congrès
hal-00603802
v1
|
|
Analytical modeling of accumulation-mode suspended gate MOSFET and process challenges for very low operating power devices.Conference proceedings to be published, IEEE, 2009, Apr 2009, Hsinchu, Taiwan
Communication dans un congrès
hal-00604262
v1
|
|
Study of Organic Material FETs by Combined Static and Low Frequency Noise MeasurementsAIP Conference Proceedings, 2009, Pisa, Italy. pp.163-166
Communication dans un congrès
hal-00603795
v1
|
|
Drift-diffusion and Ballistic Mobility Characterization in Nano CMOS DevicesGDR Nanoélectronique, Atelier, De la réalité et de l'intérêt du transport balistique dans les composants nanoélectroniques, May 2009, Orsay, France
Communication dans un congrès
hal-00604959
v1
|
|
Oxide Soft BreakDown : From Device Modeling to Small Circuit Simulation39th European Solid-State Circuit Research Conference, Sep 2009, Athènes, Greece
Communication dans un congrès
hal-00603730
v1
|
|
Performance Boosters for Advanced SOI CMOS.Advanced Workshop on 'Frontiers in Electronics' (WOFE 09),, 2009, Rincon, Puerto Rico
Communication dans un congrès
hal-00604264
v1
|
|
1/f Noise Modeling at Low Temperature with the EKV3 Compact Model.NSTI Nanotech 2009, Workshop on Compact Modeling (WCM 2009), May 2009, Houston, United States. pp.636-638
Communication dans un congrès
hal-00604193
v1
|
|
|
A New Technique to Extract the Gate Bias Dependent S/D Series Resistance of Sub-100nm MOSFETsInternational Symposium on VLSI Technology, Systems and Applications, Apr 2009, Hsinchu, Taiwan. pp.109 - 110, ⟨10.1109/VTSA.2009.5159314⟩
Communication dans un congrès
hal-00465769
v1
|
Mismatch Measure Improvement Using Kelvin Test Structures in Transistor Pair Configuration in Sub-Hundred Nanometer MOSFET TechnologyIEEE International Conference on Microelectronic Test Structures, Apr 2009, Oxnard, United States. pp.62-67
Communication dans un congrès
hal-00603793
v1
|
|
Electrical noise in semiconductor devices - Case of CMOS technologies.Global e-workshop on semiconductor technology, 2009, North Korea
Communication dans un congrès
hal-00604750
v1
|
|
Guidelines for MOSFET Device Optimization accounting for L-dependent Mobility Degradation.Conference workbook., 2009, kyoto, Japan
Communication dans un congrès
hal-00604208
v1
|
|
Etat de l'art de la compréhension du transport quasi ballistique dans les composants MOS avancésGDR Nanoélectronique, Atelier, De la réalité et de l'intérêt du transport balistique dans les composants nanoélectroniques, May 2009, Orsay, France
Communication dans un congrès
hal-00604961
v1
|
|
Impact of Ohmic Contacts on Space Charge Limited Currents in Au / Pentacene / Au StructuresInternational Conference on Organic Electronics 2009, Jun 2009, Liverpool, United Kingdom
Communication dans un congrès
hal-00603796
v1
|
|
From Atomistic to Device Level Investigation of Hybrid Redox Molecular/Silicon Field-Effect Memory Devices IMWto be published (IEEE Conference Proceedings, 2009), May 2009, Monterey, CA, United States
Communication dans un congrès
hal-00603820
v1
|
|
Tuning the dipole at the High-k/SiO2 interface in advanced metal gate stacksInternational Conference on Insulating Films on Semiconductors (INFOS), Jul 2009, Cambridge, United Kingdom
Communication dans un congrès
hal-00603834
v1
|
|
Electrical Transport characterization of nano CMOS devices with ultra-thin silicon film.to be published, IEEE Conference Proceedings (2009), 2009, kyoto, Japan
Communication dans un congrès
hal-00603879
v1
|
|
New Experimental Insight into Ballisticity of Transport in Strained Bulk MOSFETsIEEE Symposium on VLSI Technology (VLSI Symposium),, Jun 2009, kyoto, Japan
Communication dans un congrès
hal-00604249
v1
|
|
|
Etude expérimentale de la balisticité du transport dans les transistors nMOS contraints sur silicium massifJournées Nationales du GdR Nanoélectronique, May 2009, Orsay, France. pp.18-19
Communication dans un congrès
hal-00465797
v1
|
|
Guidelines for MOSFET Device Optimization accounting for L-dependent Mobility DegradationSilicon Nanoelectronics Workshop (SNW), Jun 2009, Kyoto, Japan. pp.25-26
Communication dans un congrès
hal-00465794
v2
|
Pockets engineering impact on mismatch performance on 45nm MOSFET technologiesInt. Conference on Ultimate Integration of Silicon, Mar 2009, Aachen, Germany. pp.15-18
Communication dans un congrès
hal-00604235
v1
|
|
Strain sensitivity of gate leakage in biaxially strained FD-SOI nMOSFETs: a benefit for the performance trade-off and a novel way to extract the strain-induced band offsetInternational Conference on Insulating Films on Semiconductors (INFOS), Jul 2009, Cambridge, United Kingdom
Communication dans un congrès
hal-00603824
v1
|
|
Challenges and Prospects of RF Oscillators Using Silicon Resonant Tunneling DiodesEuropean Solid-State Device Research Conference, Sep 2009, Athènes, Greece. pp.237-241
Communication dans un congrès
hal-00603719
v1
|
|
Relationship between mobility and high-k interface properties in advanced Si and SiGe nanowiresIEEE International Electron Devices Meeting, Dec 2009, Balitimore, United States
Communication dans un congrès
hal-00603809
v1
|
|
Experimental Investigation of Transport Mechanisms through HfO2 Gate Stacks in nMOS TransistorsEuropean Solid-State Device Research Conference,, Sep 2009, Athènes, Greece. pp.169-173
Communication dans un congrès
hal-00603729
v1
|
|
Impact of Coulomb Scattering on the Characteristics of Nanoscale DevicesInternational Conference on Solid State Devices and Materials (SSDM, 2009, Sendai, Japan
Communication dans un congrès
hal-00604219
v1
|
|
Mobility enhancement by CESL strain in SOI MOSFETs. Euro-SOI5th EUROSOI Workshop, Jan 2009, Göteborg, Sweden
Communication dans un congrès
hal-00603735
v1
|
|
Low-Frequency Noise in a 0.18 µm Mixed-Mode CMOS Technology at Low TemperatureInternational Conference on Noise and Fluctuations (ICNF), 2009, Pisa, Italy
Communication dans un congrès
hal-00603794
v1
|
|
Impact of Progressive Soft Oxide Breakdown on MOS Parameters: Experiment and Modelling.15th Workshop on Dielectrics in Microelectronics, Berlin, Germany, Jun 2008, Berlin, Germany
Communication dans un congrès
hal-00392468
v1
|
|
Contributions and Limits of Charge Pumping Measurement for Addressing Trap Generation in High-K/SiO2 Dielectric Stacks.IEEE IRPS 2008, Phoenix, Arizona, USA, Apr 2008, Phoenix, United States
Communication dans un congrès
hal-00392166
v1
|
|
Low-Temperature Electrical Characterization of eXtra-strained FD-SOI n-MOSFETs with TiN/HfO2 gate stack for the 32nm Technology Node.8th International Workshop on Low Temperature Electronics, WOLTE 8, Jena/Gabelbach, Germany, Jun 2008, Jena, Germany
Communication dans un congrès
hal-00392485
v1
|
|
Nano Accumulation-Mode Suspended-Gate MOSFET: Impact of adhesion forces on electro-mechanical characteristics.IEEE ULIS conference, Udine, Italy, Mar 2008, Udine, Italy
Communication dans un congrès
hal-00392458
v1
|
|
Impact of Oxide Progressive Soft Breakdown: Experiment and Modeling.Fringe workshop ESSDERC 08, Edinburgh, UK, Sep 2008, Edinburgh, Ireland
Communication dans un congrès
hal-00392142
v1
|
|
Pushing Bulk Transistor with Conventional SiON Gate Oxide for Low Power Applications.International Conference on Solid State Devices and Materials (SSDM 2008), Tokyo, Japon, Sep 2008, Tokyo, Japan
Communication dans un congrès
hal-00392452
v1
|
|
15nm-diameter 3D Stacked Nanowires with optional Independent Gates operation (?FET)IEEE International Electron Devices Meeting 2008, San Francisco, USA, Dec 2008, Grenoble, France
Communication dans un congrès
hal-00392154
v1
|
|
NANOSIL Network of Excellence: Silicon-based nanostructures and nanodevices for long-term nanoelectronics applicationsEuropean Materials Research Society (E-MRS 2008), Symposium, May 2008, Strasbourg, France
Communication dans un congrès
hal-00391849
v1
|
|
Contact etch stop a-SixNy:H layer: a key factor for single polysilicon flash memory data retention.15th Workshop on Dielectrics in Microelectronics, Berlin, Germany, Jun 2008, Berlin, Germany
Communication dans un congrès
hal-00392476
v1
|
|
On the Influence of Molecular Linker on Charge Transfer Rate in Hybrid Molecular (Ferrocene)/Silicon Field Effect Memories.23rd IEEE NVSMW / 3rd ICMTD '08, Club Méditerranée, Opio, FRANCE, May 2008, Opio, France
Communication dans un congrès
hal-00392147
v1
|
|
Coupled Approach for Reliability Study of Fully Self Aligned SiGe:C 250GHz HBTs.Integrated Reliability Workshop IRW, Lake Tahoe, USA, Nov 2008, USA, United States
Communication dans un congrès
hal-00392171
v1
|
|
How to Monitor Metal-Insulator-Metal (MIM) Capacitors Dielectric Reliability.26th International Conference on Microelectronics, MIEL, Nis, Serbia, May 2008, Nis, Serbia
Communication dans un congrès
hal-00392492
v1
|
|
Analog-Digital Circuit Design at Low Temperature.8th International Workshop on Low Temperature Electronics, WOLTE 8, Jena/Gabelbach, Germany, Jun 2008, Jena, Germany
Communication dans un congrès
hal-00392479
v1
|
|
Aspects of nanoelectronics in Minatec.Invited paper, Workshop on advances in nanoelectronics, Kuwait City, Kuwait, Mar 2008, Kuwait, Kuwait
Communication dans un congrès
hal-00392464
v1
|
|
Analytical Modeling of Tunneling Current through SiO2-HfO2 Stacks in MOS Structures15th Workshop on Dielectrics in Microelectronics, Jun 2008, Berlin, France
Communication dans un congrès
hal-00391881
v1
|
|
Impact of Inside Spacer Process on Fully Self-Aligned 250 GHz SiGe:C HBTs Reliability Performances: a - Si vs. Nitride.ESREF 2008, Maastricht, The Netherlands, Sep 2008, -, Netherlands
Communication dans un congrès
hal-00392127
v1
|
|
New characterization methods for nanoMOSFETs.MIGAS 2008, Autrans, Jun 2008, Autrans, France
Communication dans un congrès
hal-00392178
v1
|
|
Low Frequency Noise Analysis in HfO2/SiO2 gate oxide Fully-Depelted SOI Transistors.15th Workshop on Dielectrics in Microelectronics, Berlin, Germany, Jun 2008, Berlin, Germany
Communication dans un congrès
hal-00392487
v1
|
|
Analysis of Electron Mobility in HfO2/TiN MOSFETs: The influence of HfO2 thickness, Temperature and oxide charge39th IEEE Semiconductor Interface Specialists Conference, San Diego, Dec 2008, USA, France
Communication dans un congrès
hal-00391866
v1
|
|
Performances Comparison of Si and GaAs Based Resonant Tunneling DiodesISCS 2008, The International Symposium on Compound Semiconductors, Sep 2008, Grenoble, France
Communication dans un congrès
hal-00391921
v1
|
|
On the Influence of Fin Corner Rounding in 3D Nanocrystal Flash Memories.23rd IEEE NVSMW / 3rd ICMTD '08, Club Méditerranée, Opio, FRANCE, May 2008, Opio, France
Communication dans un congrès
hal-00392144
v1
|
|
Planar Bulk+ Technology using TiN/Hf-based gate stack for Low Power Applications.2008 Symposium on VLSI Technology, Hawai, USA, Jun 2008, Hawai, United States
Communication dans un congrès
hal-00392459
v1
|
|
New Physical Model for ultra-scaled 3D Nitride-Trapping Non-Volatile Memories.IEEE International Electron Devices Meeting 2008, San Francisco, USA, Dec 2008, San Francisco, United States
Communication dans un congrès
hal-00392162
v1
|
|
Reliability of charge trapping memories with high-k control dielectrics5th International Symposium on Advanced Gate Stack Technology, Austin, Texas, Sep 2008, Austin, United States
Communication dans un congrès
hal-00392559
v1
|
|
Experimental characterization of advanced nano-scale MOSFETsSinano School, Bertinoro, Italy,, Sep 2008, Bertinoro, Italy
Communication dans un congrès
hal-00392189
v1
|
|
Study of Ferrocene/Silicon Hybrid Memories: Influence of the Chemical Linkers and Device Thermal Stability.38th European Solid-State Device Research Conference (ESSDERC'08), Edinburgh, UK, Sep 2008, -, France
Communication dans un congrès
hal-00392137
v1
|
|
A Mobility Extraction Method for 3D Multichannel Devices.38th European Solid-State Device Research Conference (ESSDERC'08),, Sep 2008, Grenoble, France
Communication dans un congrès
hal-00391984
v1
|
|
Estimations of the Ion-Ioff Performances of Nano nMOSFETs with Alternative Channels Materials4th EUROSOI Workshop, Jan 2008, EUROSOI, France. pp.43-44
Communication dans un congrès
hal-00391957
v1
|
|
SiO2 Interfacial Layer as The Origin of The Breakdown of High-k Dielectric Stacks.15th Workshop on Dielectrics in Microelectronics, Berlin, Germany, Jun 2008, -, Germany
Communication dans un congrès
hal-00392472
v1
|
|
Back-Scattering in Quasi Ballistic NanoMOSFETs: The role of Non Thermal Carrier DistributionsIEEE ULIS conference, Mar 2008, Grenoble, France
Communication dans un congrès
hal-00391933
v1
|
|
Direct comparison of Si/High-K and Si/SiO2 channels in advanced FD SOI MOSFETs.IEEE International SOI Conference, New Paltz, New York USA, Nov 2008, New York, United States
Communication dans un congrès
hal-00392447
v1
|
|
Source-to-Drain vs. Band-to-Band Tunneling in Ultra-Scaled DG nMOSFETs with Alternative Channel Materials2008 International Conference on Solid State Devices and Materials, Sep 2008, -, France
Communication dans un congrès
hal-00391924
v1
|
|
New Y-Function-Based Methodology for Accurate Extraction of Electrical Parameters on Nano-Scaled MOSFETsIEEE International Conference on Microelecronics Test Structure, ICMTS, Edinburgh, Scotland, 2008, Edinburgh, France
Communication dans un congrès
hal-00392150
v1
|
|
NANOSIL Network of Excellence : silicon-based nanostructures and nanodevices for long-term nanoelectronics applicationsEuropean Materials Research Society Spring Meeting, E-MRS Spring 2008, Symposium I : Front-end junction and contact formation in future Silicon/Germanium based devices, 2008, Strasbourg, France
Communication dans un congrès
hal-00361546
v1
|
|
Impact of Silicon nitride CESL on NLDEMOS transistor reliability.ESREF 2008, Maastricht, The Netherlands, Sep 2008, Maastricht, Netherlands
Communication dans un congrès
hal-00392129
v1
|
|
On the Role of a HTO/Al2O3 Bi-Layer Blocking Oxide in Nitride-Trap Non-Volatile Memories38th European Solid-State Device Research Conference (ESSDERC'08), Edinburgh, UK, Sep 2008, Edinburgh, France
Communication dans un congrès
hal-00392558
v1
|
|
Impact of scaling on electrostatics of germanium-channel MOSFET-analytical study.IEEE Silicon Nanoelectronics Workshop, Honolulu, USA, Jun 2008, Honolulu, United States
Communication dans un congrès
hal-00392184
v1
|
|
|
Intrinsic fixed charge and trapping properties of HfAlO interpoly dielectric layersInternational Conference on Memory Technology and Design (ICMTD), May 2007, Giens, France
Communication dans un congrès
hal-01745578
v1
|
In-depth Investigation of Hf-based High-k Dielectrics as Storage Layer of Charge-TrapNVMsIEDM 2006, 2006, San Francisco, United States. pp.XX
Communication dans un congrès
hal-00147137
v1
|
|
High mobility nanometer-scaled CMOS: opportunities and challengesThird International SiGe Technology and Device Meeting (ISTDM), May 2006, Princeton, New Jersey, United States
Communication dans un congrès
hal-00145675
v1
|
|
Low Frequency Noise Performance in TiN/HfO2 Fully Depleted SOI nMOSFETIEEE Int. SOI Conference, 2006, USA, United States. pp.XX
Communication dans un congrès
hal-00147154
v1
|
|
Initial and PBTI-induced traps and charges in Hf(Six)Oy / TiN stacksWODIM 06, 2006, Catania, Italy
Communication dans un congrès
hal-00145682
v1
|
|
Perspectives du Formalisme Dérive Diffusion en Régime Quasi Ballistique pour la Modélisation Analytique des Composants MOSGDR Nano, Journées " Simulation et Caractérisation ", 2006, GRENOBLE, France. pp.XX
Communication dans un congrès
hal-00148261
v1
|
|
Nanoscaled MOSFET transistors on strained Si, SiGe & Ge layers: some integration and electrical feature210th Meeting of The Electrochemical Society, symposium "SiGe and Ge: Materials, Processing, and Devices", Oct 2006, Cancun, Mexico. pp.# 1496
Communication dans un congrès
hal-00145678
v1
|
|
Reliability characteristics of 150GHz fT/fmax Heterojunction Bipolar Transistors under reverse, forward and mixed-mode stressInt. Reliability Workshop 2006, 2006, Stanford Sierra, United States. pp.XX
Communication dans un congrès
hal-00147143
v1
|
|
Electrical Characterization of Single and Multi Gate DeviceInt. Summer School on Advanced Microelectronics MIGAS 2006, 2006, Autrans, France
Communication dans un congrès
hal-00145688
v1
|
|
Impact of Film Thickness on LF Noise in SOI DevicesInternational Conference on Solid State Devices and Materials (SSDM 2006), 2006, Japan, Japan. pp.XX
Communication dans un congrès
hal-00147157
v1
|
|
Refined electrical analysis of two charge states transition characteristic of "borderless" silicon nitrideWoDIM 2006, 2006, Catania, Italy. pp.XX
Communication dans un congrès
hal-00147130
v1
|
|
Drain and Gate Current Low Frequency Noise in Biaxially Strained Siliconn-MOSFETs with Ultrathin Gate OxidesULIS conference, 2006, Grenoble, France. pp.XX
Communication dans un congrès
hal-00146961
v1
|
|
New insight on Stress Induced Leakage Current on SiO2/HfO2 stackXX, 2006, XX, France. pp.XX
Communication dans un congrès
hal-00147141
v1
|
|
Surface Segregation and Electrical Studies of Heavily Arsenic and Phosphorus in situ Doped Epi and Poly Silicon2006-International-SiGe-Technology-and-Device-Meeting, 2006, CANCUN, Mexico. pp.XX
Communication dans un congrès
hal-00147148
v1
|
|
Transport parameter extraction in short channel MOS transistorsJournées du GDR Nanoélectronique, 2006, GRENOBLE, France
Communication dans un congrès
hal-00148263
v1
|
|
Low Frequency Noise in advanced CMOS devices3rd Int. Symp. On System construction of global network oriented information electronics, 2006, Sendai, Japan
Communication dans un congrès
hal-00145666
v1
|
|
Experimental evidence of mobility enhancement in short-channel ultra-thin body double-gate MOSFETs36th European Solid-State Device Research Conference (ESSDERC'06), 2006, Genève, Switzerland. Actes pp. 367-370
Communication dans un congrès
hal-00147170
v1
|
|
Impact of a single grain boundary in the polycrystalline silicon gate on sub 100nm bulk MOSFET characteristics - Implication on matching propertiesULIS conference, 2006, Grenoble, France. pp.XX
Communication dans un congrès
hal-00146955
v1
|
|
Injection Velocity Optimization in Quasi-Ballistic Si-nMOSFETsSilicon Nanoelectronics Workshop, 2006, Honolulu, United States
Communication dans un congrès
hal-00146658
v1
|
|
Low Frequency Noise and Fluctuations in sub 0.1µm Bulk and SOI CMOS TechnologiesMIEL 2005, 2006, Nis, Serbia
Communication dans un congrès
hal-00145669
v1
|
|
Étude de la mobilité effective dans les DG MOSFET quasi-balistiquesxxxx, 2006, Grenoble, France. pp.XX
Communication dans un congrès
hal-00148265
v1
|
|
Influence of interface coupling on the mobility in FD-SOI MOSFETsNATO International Advanced Research Workshop, 2006, Ukraine, Ukraine. pp.XX
Communication dans un congrès
hal-00147174
v1
|
|
Influence of interface coupling on the mobility in FDSOI MOSFETsCom à NATO Advanced Research Workshop on Nanoscaled Semiconductor-On-Insulator Structures and Devices, 2006, Ukraine, Ukraine. pp.XX
Communication dans un congrès
hal-00147178
v1
|
|
Novel 3D integration process for highly scalable Nano-Beam stacked-channels GAA (NBG) CMOSFETs with HfO2/TiN gate stackIEDM 2006, 2006, San Francisco, United States. pp.XX
Communication dans un congrès
hal-00147138
v1
|
|
Quantum effects influence on thin silicon film capacitor-less DRAM performanceInternational SOI Conference, Oct 2006, New York, United States. pp.157-158
Communication dans un congrès
hal-00525093
v1
|
|
Improved methodology for the Characterization of Avt MOSFET matching parameter dispersionIEEE ICMTS 2006, 2006, Grenoble, France. pp.XX
Communication dans un congrès
hal-00146936
v1
|
|
Impact of emitter resistance mismatch on base and collector current matching in bipolar transistorsIEEE ICMTS 2006, 2006, Grenoble, France. pp.XX
Communication dans un congrès
hal-00146931
v1
|
|
Floating Body Effects in 90nm Partially Depleted MOSFETsULIS conference, 2006, GRENOBLE, France. pp.XX
Communication dans un congrès
hal-00147163
v1
|
|
Low Frequency Noise in Multi-Gate SOI CMOS DevicesEUROSOI conference, 2006, GRENOBLE, France. pp.XX
Communication dans un congrès
hal-00147152
v1
|
|
Ultra-thin fully-depleted SOI MOSFETs: special charge properties and coupling effectsEUROSOI 2006, 2006, Grenoble, France
Communication dans un congrès
hal-00146784
v1
|
|
Saturation Drain Current analytical modeling of Single Gate Fully Depleted SOI or SON MOSFETs in the Quasi Ballistic Regime of Transport2006 IEEE International SOI Conference, 2006, Niagara Falls, United States. pp.XX
Communication dans un congrès
hal-00146654
v1
|
|
Unexpected mobility degradation for very short devices : A new challenge for CMOS scalingIEDM 2006, 2006, San Francisco, United States. pp.XX
Communication dans un congrès
hal-00147133
v1
|
|
Investigation of interface defects and bulk charges in metal gate HfO_2 MOSFETsEuropean Materials Research Science Spring Meeting, 2006, STRASBOURG, France. pp.XX
Communication dans un congrès
hal-00147150
v1
|
|
Mobility Extraction in Double-Gate MOSFETs by Magnetoresistance Technique (poster)Journées "Simulation et caractérisation des nanocomposants" du GDR Nanoélectronique, 2006, Grenoble, France. pp.XX
Communication dans un congrès
hal-00148279
v1
|
|
Quantum effects influence on thin silicon film capacitor-less DRAM performanceIEEE Int. SOI Conference, 2006, USA, United States. pp.XX
Communication dans un congrès
hal-00147145
v1
|
|
Impact of TiN post-treatment on Metal Insulator Metal capacitors performancesMAM 2006, Materials for Advanced Metallization Conference, 2006, GRENOBLE, France. pp.XX
Communication dans un congrès
hal-00146672
v1
|
|
Large scale time characterization and analysis of PBTI in HfO2/metal gate stacksIRPS 2005, 2006, Grenoble, France. pp.XX
Communication dans un congrès
hal-00146929
v1
|
|
|
Unified Analysis of Degraded Base Current in SiGe:C HBTs after Reverse and Forward Reliability StressIEEE Bipolar - BiCMOS Circuits and Technology Meeting (BCTM2006), 2006, Maastricht, Netherlands. ⟨10.1109/BIPOL.2006.311156⟩
Communication dans un congrès
hal-00147125
v1
|
Accurate Determination of Flat Band Voltage in advanced MOS structureWoDIM 2006, 2006, Catania, Italy. pp.XX
Communication dans un congrès
hal-00147129
v1
|
|
Magnetoresistance Mobility Meaurements in Gate-All-Around SON MOSFETsWorkshop Euro-SOI, 2005, GRANADA, Spain. pp.XX
Communication dans un congrès
hal-00146521
v1
|
|
Magnetoresistance technique for mobility extration in short channel FD-SOI transistors35th European Solid-State Device Research Conference (ESSDERC'05), ESSDERC 05, 2005, GRENOBLE, France. pp.569-572
Communication dans un congrès
hal-00146565
v1
|
|
Electrical characterization of high density trench capacitors, and comparison with planar capacitorsWorkshop sur les nouveaux oxydes à forte permittivité pour l'intégration dans les semiconducteurs, 2005, Autrans, France
Communication dans un congrès
hal-00146515
v1
|
|
Experimental mobility study of DG-GAA MOSFETs down to 40nm gate lengthEUROSOI 2005: First Workshop of the Thematic Network on Silicon On Insulator Technology, Devices and Circuits, 2005, Granada, Spain
Communication dans un congrès
hal-00146483
v1
|
|
New extraction method for gate bias dependent series resistance in nanometric double gate transistors.International Conference on Microelectronic Test Structures - ICMTS, 2005, Louvain, Belgium
Communication dans un congrès
hal-00146484
v1
|
|
In-Depth Study of Strained SGOI nMOSFETs down to 30nm Gate Length35st European Solid-State Device Research Conference, 2005, Grenoble, France
Communication dans un congrès
hal-00146508
v1
|
|
3D High Density MIM Capacitors Integrated in BiCMOS Technology35th European Solid-State Device Research Conference (ESSDERC'05), 2005, GRENOBLE, France. pp.XX
Communication dans un congrès
hal-00146518
v1
|
|
Planar glass integrated optical structure based on prism decoupling for sensing applicationPhotonic Integration, Proc. SPIE 5728, 2005, SAN JOSE CA, United States. pp.XX
Communication dans un congrès
hal-00148004
v1
|
|
Experimental Investigation of Low and High Field Transport in Substrate- and Process-Induced Strained Nanoscaled MOSFETsSymposium on VLSI Technology, 2005, Kyoto, Japan. pp.XX
Communication dans un congrès
hal-00146481
v1
|
|
Investigation of the Effective Field Impact on the Carrier Mobility in sub-100nm MOSFETsULtimate Integration of Silicon (ULIS) Workshop, 2005, Bologne, Italy
Communication dans un congrès
hal-00146505
v1
|
|
|
Modelling of the Programming Window Distribution in Multi Nanocrystals MemoriesESSDERC, 2003, Lisbon, Portugal. ⟨10.1109/TNANO.2003.820782⟩
Communication dans un congrès
hal-00485165
v1
|
Influence of dots size and dots number fluctuations on the electrical characteristics of multi nanocrystal memory devicesWODIM 2002, 2002, Grenoble, France
Communication dans un congrès
hal-00486141
v1
|
|
Nano crystal memory devices characterization using the charge pumping techniqueESSDERC, 2002, Irlande, Ireland
Communication dans un congrès
hal-00484546
v1
|
|
Low Temperature Characterization and Modeling of FDSOI Transistors for Cryo CMOS ApplicationsLow-Temperature Technologies [Working Title], IntechOpen, 2021, ⟨10.5772/intechopen.98403⟩
Chapitre d'ouvrage
hal-03309147
v1
|
|
Noise and Fluctuations in Fully Depleted Silicon-On-Insulator MOSFETsNoise in Nanoscale Semiconductor Devices, 2020
Chapitre d'ouvrage
hal-03090062
v1
|
Nanowire DevicesEd. by F. Balestra. Beyond‐CMOS Nanodevices 2, Wiley-ISTE, pp.25-95, 2014, Nanoscience and Nanotechnology Series, 978-1-84821-655-6 978-1-11898-513-7. ⟨10.1002/9781118985137.ch2⟩
Chapitre d'ouvrage
hal-02051240
v1
|
|
Electron and Hole Mobility in Semiconductor DevicesEd. by J.G. Webster. Wiley Encyclopedia of Electrical and Electronics Engineering, John Wiley & Sons, Inc., 2014, ⟨10.1002/047134608X.W3148.pub2⟩
Chapitre d'ouvrage
hal-01959198
v1
|
|
Sensitivity of silicon nanowire biochemical sensorsF. Balestra. Beyond CMOS Nanodevices 1, Wiley-ISTE, pp.43-64, 2014, Nanoscience and nanotechnology series, 978-1-84821-654-9, 978-1-11898-477-2. ⟨10.1002/9781118984772.ch3⟩
Chapitre d'ouvrage
hal-01059156
v1
|
|
Modeling of End of the Roadmap nMOSFET with Alternative Channel MaterialNanoscale CMOS: Innovative Materials, Modeling and Characterization, John Wiley & Sons, Inc., pp.287-334, 2013
Chapitre d'ouvrage
hal-01959425
v1
|
|
Special issue of selected papers from "35th ESSDERC Conference", volume 50, Elsevier SciencesElsevier Sciences. Special issue of selected papers from "35th ESSDERC Conference", volume 50, Elsevier Sciences, Guest editors, pp.volume 50, 2006
Chapitre d'ouvrage
hal-00148996
v1
|
|
Proceedings of the 35th European Solid-State Device Research Conference (ESSDERC 05)Proceedings of the 35th European Solid-State Device Research Conference (ESSDERC 05), IEEE/Color Press, pp.XX, 2005
Chapitre d'ouvrage
hal-00148991
v1
|
|
Electron and Hole Mobility in Semiconductor DevicesEd. by J. G. Webster. Wiley Encyclopedia of Electrical and Electronics Engineering, John Wiley & Sons, Inc., 1999, 9780471346081. ⟨10.1002/047134608X.W3148⟩
Chapitre d'ouvrage
hal-02061260
v1
|
Low Temperature Electrical Characteristics of Si Nanonet Field Effect Transistors2019 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2019, Grenoble, France. IEEE, pp.1-4, 2020, ⟨10.1109/EUROSOI-ULIS45800.2019.9041882⟩
Proceedings/Recueil des communications
hal-04925576
v1
|
|
Low-frequency Noise Characterization of Si Nanonet Field Effect Transistors25th International Conference on Noise and Fluctuations (ICNF), Jun 2019, Neuchatel, Switzerland. ICLAB, p180-183, 2019, ⟨10.5075/epfl-ICLAB-ICNF-269289⟩
Proceedings/Recueil des communications
hal-04925581
v1
|
Proceedings of the 11th International Workshop on Low Temperature Electronics (WOLTE 2014)2014, 978-1-4799-4841-3
Ouvrages
hal-02015122
v1
|
|
NH 3 treatments of Hf-based layers for application as NVM active dielectricsIEEE Semiconductor Interface Specialists Conference (SISC), Dec 2007, Arlington, United States
Poster de conférence
hal-01745607
v1
|
Chargement...
Chargement...