Accéder directement au contenu

Rodrigo Possamai Bastos

29
Documents

Présentation

I am an Associate Professor at the Univ. Grenoble Alpes, CNRS, Grenoble INP, and TIMA Laboratory (France) since September 2012, having obtained my dual-nationality Ph.D. degree in nano and microelectronics with the Univ. Grenoble Alpes and UFRGS in July 2010 and the French accreditation for leading research (HDR) in January 2018. My current research interests include aspects related to reliability and security of integrated circuits and computing systems (hardware and software) for aerospace and ground-level applications, from designing to testing, including on-chip CMOS current sensors, solutions for mitigating effects of environmental energetic subatomic particles, and methods for carrying out accelerated ionizing radiation tests in computing systems, edge computing, inertial navigation systems, embedded artificial intelligence (AI) processing, and tiny machine learning applications. **Key research projects** * [MultiRad](https://sourcesup.renater.fr/wiki/multirad/public) * [stAte](https://sourcesup.renater.fr/wiki/state/public) **Coordination of undergraduate activities** * [Grenoble INP Phelma - Échange International BRAFITEC/BRAFISAT](https://sourcesup.renater.fr/wiki/brafisat) * [PHITEM M2 MISTRE - Architectures de systèmes à base processeurs](https://cours.univ-grenoble-alpes.fr/course/view.php?id=11828) * [DLST L2 - Parcours Mathématiques - Informatique International (MIN Int)](https://dlst.univ-grenoble-alpes.fr/formations/parcours-internationaux-parcours-selectifs-/parcours-mathematiques-informatique-international-min-int--122158.kjsp) * [DLST L1 - Formation Bureautique Internet (FBI)](https://cours.univ-grenoble-alpes.fr/course/view.php?id=3547) **Doctoral thesis supervisions** * (10) Leonardo Alexandrino de Melo (2024-2027): - Deploying compact and dependable deep neural networks in safety critical applications * (9) Luiz Henrique Laurini (2023-2026): - Mitigation of cosmic ray radiation effects in artificial intelligence computing systems * (8) Tarso Kraemer Sarzi Sartori (2020-2023): - Mitigation of radiation effects on the attitude estimation processing of autonomous things * (7) Matheus Garay Trindade (2018-2021): - Assessment of edge machine-learning systems under radiation-induced effects * (6) Ricardo Aquino Guazzelli (2017-2020): - Test and side-channel analysis of asynchronous circuits * (5) Otto Aureliano Rolloff (2015-2019): - Distributed body-bias micro-generators for an activity-driven power management in FD-SOI technologies * (4) Thiago Ferreira de Paiva Leite (2015-2018): - Energy efficiency opportunities of FD-SOI technology for asynchronous circuits * (3) Raphael Andreoni Camponogara Viera (2015-2018): - Modeling and simulating the effects of laser fault injection on integrated circuits * (2) Jean Simatic (2014-2017): - Design flow for ultra-low power: nonuniform sampling and asynchronous circuits * (1) Leonel Acunha Guimarães (2014-2017): - Testing techniques for detection of hardware Trojans in integrated circuits of trusted systems **Supervisions at level of master 2 or 3rd year of engineering school** * (13) Isabella Basso Pereira (2023-2024): - Testing procedures for assessment of computing systems under radiation effects * (12) Matthieu Charles (2022-2023): - Hardware and software set-up for evaluation of radiation effects in computing system * (11) Luiz Henrique Laurini (2022-2023): - Assessment of tiny machine-learning computing systems under neutron radiation effects * (10) Marti Gorchs Picas (2021-2022): - Analysis of medium-earth orbit radiation effects in spacecraft digital systems * (9) Marcelo Correa Cueto (2020-2021): - Analysis of medium-earth orbit radiation effects in multicore system for spacecraft * (8) Joao Sales Brum (2020-2021): - Soft error evaluation of attitude estimation algorithm in multicore computing system * (7) Jiaru Lin (2020-2021): - Test and analysis of multicore computing system under radiation effects * (6) Djeson Pascal-Valette (2020-2021): - Calibration board for radiation tests of electronic components under neutron beam instrument * (5) Matheus Garay Trindade (2017-2018): - Qualification of supervised learning models under radiation-induced effects * (4) Raphael Andreoni Camponogara Viera (2015): - Evaluation of new FD-SOI standard cells under the effects of malicious laser-induced transient faults * (3) Thiago Ferreira de Paiva Leite (2015): - Design of new digital signal processing subsystems by using FD-SOI technology and asynchronous features * (2) Otto Aureliano Rolloff (2014): - Design and evaluation of standard cells for asynchronous integrated circuits in low-power nanotechnology * (1) Ahmad Al Youssef (2013): - Evaluating the effectiveness of techniques for detection of transient faults

Publications

"laurent-fesquet"

Trojan Detection Test for Clockless Circuits

R. Aquino Guazzelli , Matheus Garay Trindade , Leonel Acunha Guimarães , Thiago Ferreira de Paiva Leite , Laurent Fesquet
Journal of Electronic Testing: : Theory and Applications, 2020, ⟨10.1007/s10836-020-05857-6⟩
Article dans une revue hal-02472910v1
Image document

Learning-Based Reliability Assessment Method for Detection of Permanent Faults in Clockless Circuits

R. Aquino Guazzelli , Matheus Garay Trindade , Laurent Fesquet , Rodrigo Possamai Bastos
Microelectronics Reliability, 2019, 100, ⟨10.1016/j.microrel.2019.06.057⟩
Article dans une revue hal-03080316v1

A body built-in cell for detecting transient faults and dynamically biasing subcircuits of integrated systems

Thiago Ferreira de Paiva Leite , Laurent Fesquet , Rodrigo Possamai Bastos
Microelectronics Reliability, 2018, 88-90, pp.122-127. ⟨10.1016/j.microrel.2018.07.069⟩
Article dans une revue hal-01893707v1
Image document

Nanobob: a CubeSat mission concept for quantum communication experiments in an uplink configuration

Erik Kerstel , Arnaud Gardelein , Mathieu Barthelemy , Yves Gilot , Etienne Lecoarer
European physical journal quantum technology, 2018, 5 (6), pp.1-30. ⟨10.1140/epjqt/s40507-018-0070-7⟩
Article dans une revue hal-01929079v1

Architectures of Bulk Built-In Current Sensors for Detection of Transient Faults in Integrated Circuits

Rodrigo Possamai Bastos , Leonel Acunha Guimarães , Frank Sill Torres , Laurent Fesquet
Microelectronics Journal, 2017, 71, pp.70-79. ⟨10.1016/j.mejo.2017.11.006⟩
Article dans une revue hal-01721110v1

Exploiting reliable features of asynchronous circuits for designing low-voltage components in FD-SOI technology

O. Rolloff , Rodrigo Possamai Bastos , Laurent Fesquet
Microelectronics Reliability, 2015, 55 (9-10), pp.1302-1306. ⟨10.1016/j.microrel.2015.07.028⟩
Article dans une revue hal-01334697v1

Body-Bias Micro-Generators for Activity-Driven Power Management

Laurent Fesquet , Yoan Decoudu , Rodrigo Iga Jadue , Thiago Ferreira de Paiva Leite , O. Rolloff
FDSOI workshop at DATE Conference 2020, Mar 2020, Grenoble, France
Communication dans un congrès hal-02956260v1

A Distributed Body-Biasing Strategy for Asynchronous Circuits

Laurent Fesquet , Yoan Decoudu , Rodrigo Iga Jadue , Thiago Ferreira de Paiva Leite , O. Rolloff
27th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 2019), Oct 2019, Cuzco, Peru
Communication dans un congrès hal-02170157v1

Learning-Based Reliability Assessment Method for Detection of Permanent Faults in Clockless Circuits

R. Aquino Guazzelli , Matheus Garay Trindade , Laurent Fesquet , Rodrigo Possamai Bastos
30th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2019), Aug 2019, Toulouse, France
Communication dans un congrès hal-02165113v1

Exploring a Non-conventional Testing Technique for Asynchronous Circuits

R. Aquino Guazzelli , Matheus Garay Trindade , Laurent Fesquet , Rodrigo Possamai Bastos
21èmes Journées Nationales du Réseau Doctoral en Microélectronique (JNRDM 2019), Jun 2019, Montpellier, France
Communication dans un congrès hal-03100560v1

Fine Grain Body-Biasing: A strategy for asynchronous circuits

Thiago Ferreira de Paiva Leite , Rodrigo Iga Jadue , Sylvain Engels , Rodrigo Possamai Bastos , Laurent Fesquet
European Nanoelectronics Applications, Design and Technology Conference (ADTC), Jun 2018, Grenoble, France
Communication dans un congrès hal-01828009v1

A Non-Intrusive Testing Technique for Detection of Trojans in Asynchronous Circuits

Leonel Acunha Guimarães , Rodrigo Possamai Bastos , Thiago Ferreira de Paiva Leite , Laurent Fesquet
Design, Automation and Test in Europe (DATE 2018), Mar 2018, Dresden, Germany. pp.1516-1519
Communication dans un congrès hal-01726979v1

A body built-in cell for detecting transient faults and dynamically biasing subcircuits of integrated systems

Thiago Ferreira de Paiva Leite , Laurent Fesquet , Rodrigo Possamai Bastos
European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF'2018), Oct 2018, Aalborg, Denmark
Communication dans un congrès hal-01986346v1

Level Shifter Architecture for Dynamically Biasing Ultra-Low Voltage Subcircuits of Integrated Systems

Rodrigo Iga Jadue , Rodrigo Possamai Bastos , Thiago Ferreira de Paiva Leite , O. Rolloff , Mamadou Diallo
IEEE International Symposium on Circuits & Systems (ISCAS 2018), May 2018, Florence, Italy
Communication dans un congrès hal-01726964v1

Detection of Layout-Level Trojans by Monitoring Substrate with Preexisting Built-in Sensors

Leonel Acunha Guimarães , Rodrigo Possamai Bastos , Laurent Fesquet
IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2017), Jul 2017, Bochum, Germany. pp.290-295, ⟨10.1109/ISVLSI.2017.58⟩
Communication dans un congrès hal-01627346v1

Detection of Layout-Level Trojans by Injecting Current Into Substrate and Digitally Monitoring Built-In Sensors

Leonel Acunha Guimarães , Rodrigo Possamai Bastos , Laurent Fesquet
Design Automation Conference, Jun 2017, Austin, TX, United States
Communication dans un congrès hal-01627374v1

Body Bias Control Cells based on Negative- and Positive-Level Shifter Architectures in Technology FD-SOI 28 nm

O. Rolloff , Rodrigo Iga Jadue , Thiago Ferreira de Paiva Leite , Rodrigo Possamai Bastos , Laurent Fesquet
Journées Nationales du Réseau Doctoral en Micro-nanoélectronique (JNRDM 2017), Nov 2017, Strasbourg, France
Communication dans un congrès hal-01721072v1

Fine Body Biasing Island Strategy in FD-SOI

Rodrigo Iga Jadue , Thiago Ferreira de Paiva Leite , O. Rolloff , Mamadou Diallo , Rodrigo Possamai Bastos
20th IP-SoC Conference (IP-SOC), Dec 2017, Grenoble, France
Communication dans un congrès hal-01721571v1

A practical framework for specification, verification and design of self-timed pipelines

Jean Simatic , Abdelkarim Cherkaoui , Bertrand François , Rodrigo Possamai Bastos , Laurent Fesquet
23rd IEEE International Symposium on Asynchronous Circuits and Systems (Async 2017), May 2017, San Diego, CA, United States. pp.65-72, ⟨10.1109/ASYNC.2017.16⟩
Communication dans un congrès hal-01512247v1

High-level synthesis for event-based systems

Jean Simatic , Rodrigo Possamai Bastos , Laurent Fesquet
Second International Conference on Event-Based Control, Communications, and Signal Processing (EBCCSP 2016), Jun 2016, Krakow, Poland. pp.1-7, ⟨10.1109/EBCCSP.2016.7605252⟩
Communication dans un congrès hal-01345745v1

AHLS_DESYNC: A Desynchronization Tool For High-Level Synthesis of Asynchronous Circuits

Jean Simatic , Rodrigo Possamai Bastos , Laurent Fesquet
Design, Automation and Test in Europe (DATE 2016), Mar 2016, Dresden, Germany
Communication dans un congrès hal-01293842v1

Comparison of Low-Voltage Scaling in Synchronous and Asynchronous FD-SOI Circuits

Thiago Ferreira de Paiva Leite , Rodrigo Possamai Bastos , Rodrigo Iga Jadue , Laurent Fesquet
26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS'16), Sep 2016, Bremen, Germany
Communication dans un congrès hal-01524087v1

Simple Tri-State Logic Trojans Able to Upset Properties of Ring Oscillators

Leonel Acunha Guimarães , Rodrigo Possamai Bastos , Thiago Ferreira de Paiva Leite , Laurent Fesquet
11th International Conference on Design &Technology of Integrated Systems in Nanoscale Era (DTIS'16), Apr 2016, Istanbul, Turkey. pp.1-6, ⟨10.1109/DTIS.2016.7483811⟩
Communication dans un congrès hal-01431177v1

QDI asynchronous circuits for low power applications: a comparative study in technology FD-SOI 28 nm

Thiago Ferreira de Paiva Leite , Rodrigo Possamai Bastos , Laurent Fesquet
Journées Nationales du Réseau Doctoral en Micro-Nanoélectronique (JNRDM'16), May 2016, Toulouse, France
Communication dans un congrès hal-01524092v1

Analysis of granularity for automatic biasing control in FDSOI technology with low-voltage supply

O. Rolloff , Thiago Ferreira de Paiva Leite , Rodrigo Possamai Bastos , Laurent Fesquet
Journées Nationales du Réseau Doctoral en Micro-Nanoélectronique (JNRDM'16), May 2016, Toulouse, France
Communication dans un congrès hal-01524090v1

New asynchronous protocols for enhancing area and throughput in bundle-data pipelines

Jean Simatic , Abdelkarim Cherkaoui , Rodrigo Possamai Bastos , Laurent Fesquet
29th Symposium on Integrated Circuits and Systems Design (SBCCI 2016), Aug 2016, Belo Horizonte, Brazil. pp.1-6, ⟨10.1109/SBCCI.2016.7724066⟩
Communication dans un congrès hal-01345749v1

A New Proposition on Hardware Trojan Activation

Leonel Acunha Guimarães , Rodrigo Possamai Bastos , Laurent Fesquet
Journées Nationales du Réseau Doctoral en Micro-nanoélectronique (JNRDM'15), May 2015, Bordeaux, France
Communication dans un congrès hal-01524097v1

Exploiting reliable features of asynchronous circuits for designing low-voltage components in FD-SOI technology

O. Rolloff , Rodrigo Possamai Bastos , Laurent Fesquet
26th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF'15), Oct 2015, Toulouse, France
Communication dans un congrès hal-01393437v1

Flot de conception pour l'ultra-faible consommation : échantillonage non-uniforme et électronique asynchrone

Jean Simatic , Rodrigo Possamai Bastos , Laurent Fesquet
Journées Nationales du Réseau Doctoral en Micro-nanoélectronique (JNRDM'15), May 2015, Bordeaux, France
Communication dans un congrès hal-01524095v1