
Aida Todri-Sanial
285
Documents
Identifiants chercheurs
Présentation
Publications
2
18
35
39
22
14
15
13
18
13
24
28
24
7
4
4
2
2
1
11
4
4
3
3
3
3
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
43
17
17
3
1
1
1
2
1
Publications
|
Microelectronics Department Half-Day Seminar2019
Ouvrages
lirmm-02388004
v1
|
|
Carbon nanotubes for InterconnectsAida Todri-Sanial, Jean Dijon, Antonio Maffuci. Springer International Publishing, 2017, 978-3-319-29744-6 (Print) 978-3-319-29746-0 (Online). ⟨10.1007/978-3-319-29746-0⟩
Ouvrages
lirmm-01444977
v1
|
Physical Design for 3D Integrated Circuits, 397 p., 2015, Series: Devices, Circuits, and Systems, CRC Press, 9781498710367
Ouvrages
lirmm-01444988
v1
|
|
Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2015), 2015, 978-1-4799-8718-4
Ouvrages
lirmm-01433587
v1
|
|
Signal Integrity for SoC Design and VerificationCalifornia State University, Long Beach, 2003
Ouvrages
lirmm-01254080
v1
|
Exploring Carbon Nanotubes for 3D Power Delivery NetworksAida Todri-Sanial; Jean Dijon; Antonio Maffuci. Carbon Nanotubes for Interconnects, CRC Press, pp.283-314, 2017, 978-3-319-29746-0. ⟨10.1007/978-3-319-29746-0_10⟩
Chapitre d'ouvrage
lirmm-01445018
v1
|
|
Electromigration Alleviation Techniques for 3D Integrated CircuitsChao Wang. High Performance Computing for Big Data: Methodologies and Applications, CRC Press, pp.37-58, 2017, 9781498783996
Chapitre d'ouvrage
lirmm-01800220
v1
|
|
Lumped Electro-Thermal Modeling and Analysis of Carbon Nanotube InterconnectsSaraju P. Mohanty; Ashok Srivastava. Nano-CMOS and Post-CMOS Electronics: Circuits and Design, IET, pp.201-218, 2016, Chapter 7, 978-1-84919-999-5. ⟨10.1049/PBCS030E_ch7⟩
Chapitre d'ouvrage
lirmm-01445070
v1
|
|
Exploration of Carbon Nanotubes For Efficient Power DeliverySaraju P. Mohanty; Ashok Srivastava. Nano-CMOS and Post-CMOS Electronics: Devices and Modelling, IET, pp.265-286, 2016, Chapter 9, 9781849199971 (print) 9781849199988 (online). ⟨10.1049/PBCS029E_ch9⟩
Chapitre d'ouvrage
lirmm-01445053
v1
|
|
Design Methodology for 3D Power Delivery NetworksA. Todri-Sanial; Ch. Seng Tan. Physical Design for 3D Integrated Circuits, CRC Press, pp.30, 2015, Chapter 8, 9781498710367
Chapitre d'ouvrage
lirmm-01445808
v1
|
|
Overview of Physical Design Issues for 3D-Integrated CircuitsAida Todri-Sanial; Chuan Seng Tan. Physical Design for 3D Integrated Circuits, CRC Press, pp.31-37, 2015, Chapter 2. PHYSICAL DESIGN METHODS FOR 3D INTEGRATION, 9781498710367
Chapitre d'ouvrage
lirmm-01444992
v1
|
|
Two-Dimensional Oscillatory Neural Networks for Energy Efficient Neuromorphic Computing2020
Rapport
(rapport contrat/projet)
lirmm-02530086
v1
|
|
Design Space Exploration Of Emerging Technologies For Energy EfficiencyDigital Libraries [cs.DL]. University of Montpellier, 2014
HDR
tel-01255761
v1
|
|
Two-Layered Oscillatory Neural Networks with Analog Feedforward Majority Gate for Image Edge Detection ApplicationISCAS 2023 - IEEE International Symposium on Circuits and Systems, May 2023, Monterey, CA, United States. pp.1-5, ⟨10.1109/ISCAS46773.2023.10181366⟩
Communication dans un congrès
hal-04007951
v1
|
Beyond CMOS Sensory Neuron Devices Based on Vanadium Dioxide for In-Sensory Computing2023 MRS Spring Meeting & Exhibit, Apr 2023, San Francisco (CA), United States
Communication dans un congrès
lirmm-04093332
v1
|
|
Multi-Scale Simulations of Supported Pt Nanoclusters on Single-Layer MoS2 for Chemiresistive Wearable Biosensors2023 MRS Spring Meeting & Exhibit, Apr 2023, San Francisco, United States
Communication dans un congrès
lirmm-04093322
v1
|
|
|
Oscillatory Neural Networks Implemented on FPGA for Edge Computing ApplicationsDATE 2023 - 26th Design, Automation and Test in Europe Conference and Exhibition, Apr 2023, Antwerp, Belgium
Communication dans un congrès
hal-04007911
v1
|
Oscillatory Neural Networks Applications for Edge ComputingHiPEAC 2023 - European Conference on High-performance Embedded Architecture and Compilation, Jan 2023, Toulouse, France
Communication dans un congrès
hal-03945180
v1
|
|
|
SIFT-ONN: SIFT Feature Detection Algorithm Employing ONNs for Edge DetectionNICE 2023 - Neuro-Inspired Computational Elements Workshop, Apr 2023, San Antonio, TX, United States. ⟨10.1145/3584954.3584999⟩
Communication dans un congrès
hal-04007933
v1
|
|
ONN-Based On-chip Learning for Obstacle Avoidance on Mobile RobotSSI 2023 - Smart System Integration Conference, Mar 2023, Bruges, Belgium
Communication dans un congrès
hal-04007886
v1
|
Simulations of Volatile Memristors Based on Vanadium Dioxide: Linking Material Properties to the Dynamics of Neuromorphic CircuitsMRS 2022 Fall Meeting, Nov 2022, Boston, United States
Communication dans un congrès
hal-03762103
v1
|
|
|
Oscillatory Neural Network as Hetero-Associative Memory for Image Edge DetectionNICE 2022 - 9th Neuro-Inspired Computational Elements Workshop, Mar 2022, New York (Virtual), United States. pp.13-21, ⟨10.1145/3517343.3517348⟩
Communication dans un congrès
lirmm-03586865
v1
|
Exploring Supported Metal Nanoclusters on MoS2 for the Chemical Detection of Biomolecules in Health Monitoring Wearable DevicesMRS 2022 Spring Meeting & Exhibit, May 2022, Online Event, United States
Communication dans un congrès
lirmm-03593773
v1
|
|
|
How Parallel Circuit Execution Can Be Useful for NISQ Computing?DATE 2022 - 25th Design, Automation and Test in Europe Conference and Exhibition, Mar 2022, Antwerp, Belgium. pp.1065-1070, ⟨10.23919/DATE54114.2022.9774512⟩
Communication dans un congrès
lirmm-03456555
v1
|
|
Multi-programming Cross Platform Benchmarking for Quantum Computing HardwareQRE 2022 - 4th International Workshop on Quantum Resource Estimation, Jun 2022, New York, United States. ⟨10.48550/arXiv.2206.03144⟩
Communication dans un congrès
lirmm-03690212
v1
|
Oscillatory Neural Network for Edge Computing: A Mobile Robot Obstacle Avoidance ApplicationMetroXRAINE 2022 - IEEE International Conference on Metrology for Extended Reality, Artificial Intelligence, and Neural Engineering, Oct 2022, Rome, Italy. pp.181-186, ⟨10.1109/MetroXRAINE54828.2022.9967581⟩
Communication dans un congrès
lirmm-03844104
v1
|
|
|
Oscillatory Neural Networks for Obstacle Avoidance on Mobile Surveillance Robot E4IJCNN 2022 - IEEE International Joint Conference on Neural Networks, Jul 2022, Padova, Italy. pp.1-8, ⟨10.1109/IJCNN55064.2022.9891923⟩
Communication dans un congrès
lirmm-03666874
v1
|
First-Principles Simulations of Vacancies and Grain Boundaries in Monolayer MoS2-Au Interfaces for Unconventional Computing ParadigmE-MRS 2022 - Spring Meeting of the European Materials Research Society, May 2022, Online Event, France
Communication dans un congrès
lirmm-03658097
v1
|
|
Simulation Toolchain for Neuromorphic Oscillatory Neural Networks Based on Beyond-CMOS Vanadium Dioxide DevicesFLEPS 2022 - IEEE International Conference on Flexible and Printable Sensors and Systems, Jul 2022, Wien, Austria. pp.1-4, ⟨10.1109/FLEPS53764.2022.9781525⟩
Communication dans un congrès
hal-03670565
v1
|
|
Electrothermal Simulations of Synchronization Dynamics of Coupled Beyond-CMOS Vanadium Dioxide Oscillators for Neuromorphic Computing ApplicationsMRS 2022 Spring Meeting & Exhibit, Materials Research Society, May 2022, Honolulu, United States
Communication dans un congrès
lirmm-03610810
v1
|
|
|
VO2-based Oscillatory Ising Machine: The Role of External Temperature on PerformanceNANO 2022 - 22nd IEEE International Conference on Nanotechnology, Jul 2022, Palma de Mallorca, Spain. In press ?
Communication dans un congrès
lirmm-03725704
v1
|
|
On-Chip Learning with a 15-neuron Digital Oscillatory Neural Network Implemented on ZYNQ ProcessorICONS 2022 - International Conference on Neuromorphic Systems, Jul 2022, Knoxville, Tennessee (hybrid), United States. ⟨10.1145/3546790.3546822⟩
Communication dans un congrès
lirmm-03737597
v1
|
Effect of Physical Properties on Collective Behavior of Coupled Vanadium Dioxide Oscillators for Neuromorphic Computing ApplicationsE-MRS 2022 - Spring Meeting of the European Materials Research Society, May 2022, Virtual Conference, France
Communication dans un congrès
hal-03670507
v1
|
|
Modelling Defective MoS2 and Au Interfaces in 2D Memristors by Combining DFT with Green’s Function Surface CalculationsMRS 2022 Fall Meeting, Nov 2022, Boston, United States
Communication dans un congrès
lirmm-03761881
v1
|
|
|
Ab Initio Simulations of Defective Metal Contacts in Beyond-CMOS Devices Based on Single-layer MoS2: Impact of Small and Extended DefectsGraphene 2022 - 12th European Conference in Graphene and 2D Materials, Jul 2022, Aachen, Germany
Communication dans un congrès
lirmm-03658118
v1
|
|
Energy Efficient Neuromorphic Computing with Oscillatory Neural NetworksE-MRS 2021 - Fall Meeting of the European Materials Research Society, Dec 2021, Boston, MA, United States
Communication dans un congrès
lirmm-03363877
v1
|
|
Energy Efficient Neuromorphic Computing with beyond-CMOS Oscillatory Neural NetworksICONS 2021 - International Conference on Neuromorphic Systems, Jul 2021, Oak Ridge (Virtual), United States
Communication dans un congrès
lirmm-03229262
v1
|
Beyond-CMOS vanadium dioxide devices and oscillators for brain-like information processingE-MRS 2021 - Fall Meeting of the European Materials Research Society, Materials Research Society, Dec 2021, Boston, MA, United States
Communication dans un congrès
lirmm-03270246
v1
|
|
|
Investigating MoS2 as the Sensing Substrate for the Non-Enzymatic Detection of Cortisol via Quantum Mechanical DFT SimulationsJMJC 2021 - 9e Journées Méditerranéennes des Jeunes Chercheurs, Oct 2021, Montpellier, France
Communication dans un congrès
lirmm-03414261
v1
|
|
First-principles DFT simulations of MoS2 for the non-enzymatic detection of cortisolE-MRS 2021 - Fall Meeting of the European Materials Research Society, Sep 2021, Online, Poland
Communication dans un congrès
lirmm-03363666
v1
|
|
A Hardware-aware Heuristic for the Qubit Mapping Problem in the NISQ EraYQIS 2021 - 6th International Conference for Young Quantum Information Scientists, Apr 2021, Online, United States
Communication dans un congrès
lirmm-03197069
v1
|
|
Energy Consumption and Electronic Devices: Why we need to re-think chip design for AI?Venue Parcours Recherche Ingénieur (PRI), 2021, Montpellier, France
Communication dans un congrès
lirmm-03354075
v1
|
|
Exploring multi-programming for quantum algorithmsQuantum Computing (QC), 2021, online, France
Communication dans un congrès
lirmm-03227814
v1
|
|
Frequency Injection Locking-Controlled Oscillations for Synchronized Operations in VO2 Crossbar DevicesDRC 2021 - 79th Device Research Conference, Jun 2021, Santa Barbara (Virtual), United States. pp.1-2, ⟨10.1109/DRC52342.2021.9467129⟩
Communication dans un congrès
lirmm-03197330
v1
|
|
Beyond CMOS technologies for enabling integrating Artificial Intelligence at the EdgeEPoSS Annual Forum 2021, Oct 2021, Freiburg im Breisgau, Germany
Communication dans un congrès
lirmm-03354108
v1
|
|
Quantum Mechanical Simulations of 2D Materials for Unconventional Computing and Biosensing ApplicationsMC 2021 - 15th International Conference on Materials Chemistry, Jul 2021, Online Event, Ireland
Communication dans un congrès
lirmm-03220960
v1
|
Beyond CMOS Devices for Low-Power Oscillatory Neural Networks for Edge AI ComputingAlbany Nanotechnology Symposium, 2021, New York, United States
Communication dans un congrès
lirmm-03365217
v1
|
|
|
Analyzing crosstalk error in the NISQ eraISVLSI 2021 - IEEE Computer Society Annual Symposium on VLSI, Jul 2021, Tampa, FL (virtual), United States. pp.428-430, ⟨10.1109/ISVLSI51109.2021.00084⟩
Communication dans un congrès
lirmm-03246688
v1
|
|
Dedicated Wearable Sensitive Strain Sensor, based on Carbon Nanotubes, for Monitoring the Rat Respiration RateECSA 2021 - 8th International Electronic Conference on Sensors and Applications, Nov 2021, Online, France. pp.27-34, ⟨10.3390/ecsa-8-11293⟩
Communication dans un congrès
lirmm-03441142
v1
|
|
Exploring 1D and 2D Nanomaterials for Health Monitoring Wearable DevicesFLEPS 2021 - IEEE International Conference on Flexible and Printable Sensors and Systems, Jun 2021, Virtual, United Kingdom. pp.1-4, ⟨10.1109/FLEPS51544.2021.9469864⟩
Communication dans un congrès
lirmm-03363641
v1
|
|
2D Nanomaterials for Advancing Neuromorphic Computing2DMAT 2021 - Global Summit and Expo on Graphene and 2D Materials, Aug 2021, Paris, France. pp.106
Communication dans un congrès
lirmm-03354059
v1
|
VO2 oscillators on Si platform for neuromorphic computing applicationsE-MRS 2021 - Fall Meeting of the European Materials Research Society, Sep 2021, Warsaw, Poland
Communication dans un congrès
lirmm-03270170
v1
|
|
|
Multi-Scale Modeling and Simulation Flow for Oscillatory Neural Networks for Edge ComputingNEWCAS 2021 - 19th IEEE International New Circuits and Systems Conference, Jun 2021, Toulon, France. ⟨10.1109/NEWCAS50681.2021.9462761⟩
Communication dans un congrès
lirmm-03197160
v1
|
|
Oscillatory Neural Networks for Edge AI ComputingISVLSI 2021 - IEEE Computer Society Annual Symposium on VLSI, Jul 2021, Tampa, United States. pp.326-331, ⟨10.1109/ISVLSI51109.2021.00066⟩
Communication dans un congrès
lirmm-03229257
v1
|
|
MoS2 as the Sensing Platform for the Non-Enzymatic Detection of Cortisol: A First-Principles StudyImagineNano2021, Nov 2021, Bilbao, Spain
Communication dans un congrès
lirmm-03430916
v1
|
|
First Principles Simulations of MoS2 Towards the Non-Enzymatic Sensing of CortisolGraphene 2021 - 11th European Conference in Graphene and 2D Materials, Oct 2021, Grenoble, France
Communication dans un congrès
lirmm-03363969
v1
|
|
Quantum Challenges: Hardware and Software PerspectivesFRANCE IS AI, 2020, Online, France
Communication dans un congrès
lirmm-03024235
v1
|
|
Quantum Initiative at the University of MontpellierFrench Tech London Quantum Webinar, Jun 2020, London, United Kingdom
Communication dans un congrès
lirmm-03025169
v1
|
|
NeurONN: Neuromorphic Computing with Oscillatory Neural NetworksPhase-Change Switch Workshop, 2020, Virtual, France
Communication dans un congrès
lirmm-03098863
v1
|
|
NeurONN: Neuromorphic Computing for Artificial Intelligence at the Edge3rd AI Compute Symposium (IBM IEEE CAS/EDS), Oct 2020, Zurich (virtual), Switzerland
Communication dans un congrès
lirmm-03009213
v1
|
|
Using Oscillatory Neural Network for Pattern Recognition and Mobile Robot ControlSOPHI.A SUMMIT, Nov 2020, Sophia Antipolis, France
Communication dans un congrès
lirmm-03023088
v1
|
|
Progress and Challenges on Quantum Computer-Aided DesignIBM Quantum Summit, Sep 2020, Virtual, France
Communication dans un congrès
lirmm-03025303
v1
|
|
Neuromorphic Computing based on Oscillatory Neural NetworksSOPHI.A SUMMIT, Nov 2020, Sophia Antipolis, France
Communication dans un congrès
lirmm-03022129
v1
|
|
Quantum Computing: Pushing the limits of computingIBM Think Digital Summit France, Oct 2020, Virtual, France
Communication dans un congrès
lirmm-03025260
v1
|
|
A Look Into Physical Modeling and Design for Carbon Nanotube based CircuitsCASS 2020 - 10th IEEE CASS Rio Grande do Sul Workshop, Nov 2020, Virtual, Brazil
Communication dans un congrès
lirmm-03025221
v1
|
|
Design and Technology-level Optimization Challenges for Carbon Nanotube CircuitsEDA 2020 - International Workshop on Advanced Electronic Design Automation, Jan 2020, Xidian, China
Communication dans un congrès
lirmm-02549406
v1
|
|
EU H2020 NEURONN: Two-Dimensional Oscillatory Neural Networks for Energy Efficient Neuromorphic ComputingEFECS 2020 - European Forum for Electronic Components and Systems, Nov 2020, Brussels, Belgium
Communication dans un congrès
lirmm-03024126
v1
|
|
An Emerging Trend in Post Moore Era: Monolithic 3D IC TechnologyASP-DAC 2020 - 25th Asia and South Pacific Design Automation Conference, Jan 2020, Beijing, China
Communication dans un congrès
lirmm-02487849
v1
|
|
Toward New Era of Computing: From Devices to ApplicationsWhat’s next in Computing ?, Jul 2020, Montpellier (virtual), France
Communication dans un congrès
lirmm-03025126
v1
|
|
Stretchable Strain Sensors for Human Movement MonitoringDTIP 2020 - 22nd Symposium on Design, Test, Integration & Packaging of MEMS and MOEMS, Jun 2020, (Virtual ), France. ⟨10.1109/DTIP51112.2020.9139154⟩
Communication dans un congrès
hal-02903236
v1
|
|
Physical design and optimisation methods for TSV-based 3D and monolithic 3D integrationESSDERC-ESSCIRC 2019 - 15th Workshop on Heterogeneous Integration of Nanomaterials and Innovative Devices, Sep 2019, Krakow, Poland. pp.217-220
Communication dans un congrès
lirmm-02387999
v1
|
|
Importance of Interconnects: A Technology-System-Level Design PerspectiveIEDM 2019 - 65th IEEE International Electron Device Meeting, Dec 2019, San Francisco, United States. pp.23.1.1-23.1.4, ⟨10.1109/IEDM19573.2019.8993558⟩
Communication dans un congrès
lirmm-02388007
v1
|
|
Reliable Power Delivery and Analysis of Power-Supply Noise During Testing in Monolithic 3D ICsVTS 2019 - 37th IEEE VLSI Test Symposium, Apr 2019, Monterey, CA, United States. ⟨10.1109/VTS.2019.8758650⟩
Communication dans un congrès
lirmm-02131987
v1
|
|
SmartVista: Smart Autonomous Multi Modal Sensors for Vital Signs MonitoringSmart System Integration - 13th International Conference and Exhibition on Integration Issues of Miniaturized Systems, Apr 2019, Barcelona, Spain
Communication dans un congrès
lirmm-02132005
v1
|
Power-Supply Noise Analysis for Monolithic 3D ICs Using Electrical and Thermal Co-Simulation25th IEEE International Conference on Electronics Circuits and Systems (ICECS 2018), Dec 2018, Bordeaux, France. pp.217-220, ⟨10.1109/ICECS.2018.8617951⟩
Communication dans un congrès
lirmm-01880158
v1
|
|
Heterogeneous Integration Roadmap (HIR): Co-Design Challenges and PerspectivesCommunication dans un congrès lirmm-02403741 v1 |
|
|
A physics-based investigation of Pt-salt doped carbon nanotubes for local interconnectsIEDM: International Electron Devices Meeting, Dec 2017, San Francisco, United States. ⟨10.1109/IEDM.2017.8268502⟩
Communication dans un congrès
lirmm-01795777
v1
|
|
Progress on carbon nanotube BEOL interconnectsDATE 2018 - 21st Design, Automation and Test in Europe Conference and Exhibition, Mar 2018, Dresden, Germany. pp.937-942, ⟨10.23919/DATE.2018.8342144⟩
Communication dans un congrès
lirmm-01880198
v1
|
|
Challenges and Progress on Carbon Nanotube Integration for BEOL InterconnectsIITC: International Interconnect Technology Conference, Jun 2018, Santa Clara, United States. pp.16-18, ⟨10.1109/IITC.2018.8430411⟩
Communication dans un congrès
lirmm-01880138
v1
|
Chameleon: A Thermally Adaptive Error Correction Code Design for STT-MRAM LLCsDesign Automation Conference (DAC 2018), Jun 2018, San Fransisco, United States
Communication dans un congrès
lirmm-01880209
v1
|
|
|
A Robust Dual Reference Computing-in-Memory Implementation and Design Space Exploration Within STT-MRAMISVLSI 2018 - International Symposium on Very Large Scale Integration, Jul 2018, Hong Kong, China. pp.275-280, ⟨10.1109/ISVLSI.2018.00058⟩
Communication dans un congrès
lirmm-01880184
v1
|
|
Electrical performance of carbon-based power distribution networks with thermal effectsSPI: Signal and Power Integrity, May 2017, Baveno, Italy. ⟨10.1109/SaPIW.2017.7944044⟩
Communication dans un congrès
lirmm-01795816
v1
|
Synchronised 4-Phase Resonant Power Clock Supply for Energy Efficient Adiabatic LogicICRC: International Conference on Rebooting Computing, Nov 2017, Washington, DC, United States. ⟨10.1109/ICRC.2017.8123661⟩
Communication dans un congrès
lirmm-01768831
v1
|
|
Toward Carbon Nanotube ComputingEmerging Technology, May 2017, Varsovie, Poland
Communication dans un congrès
lirmm-01457269
v1
|
|
|
Atoms-to-circuits simulation investigation of CNT interconnects for next generation CMOS technologySISPAD: Simulation of Semiconductor Processes and Devices, Sep 2017, Kamakura, Japan. pp.153-156, ⟨10.23919/SISPAD.2017.8085287⟩
Communication dans un congrès
lirmm-01795803
v1
|
|
Power and Performance Analysis of Doped SW/DW CNT for On-Chip Interconnect ApplicationGRAPHENE, Mar 2017, Barcelone, Spain
Communication dans un congrès
lirmm-01800286
v1
|
|
A hierarchical model for CNT and Cu-CNT composite interconnects: from density functional theory to circuit-level simulationsIWCN: International Workshop on Computational Nanotechnology, Jun 2017, Windermere, United Kingdom
Communication dans un congrès
lirmm-01800290
v1
|
|
The impact of vacancy defects on CNT interconnects: From statistical atomistic study to circuit simulationsSISPAD: Simulation of Semiconductor Processes and Devices, Sep 2017, Kamakura, Japan. pp.157-160, ⟨10.23919/SISPAD.2017.8085288⟩
Communication dans un congrès
lirmm-01795799
v1
|
|
Atomistic to circuit level modeling of defective doped SWCNTs with contacts for on-chip interconnect applicationNMDC: Nanotechnology Materials and Devices Conference, Oct 2017, Singapore, Singapore. pp.66-67, ⟨10.1109/NMDC.2017.8350506⟩
Communication dans un congrès
lirmm-01880220
v1
|
Modeling and Simulation of Carbon Nanotube InterconnectsSISPAD: Simulation of Semiconductor Processes and Devices, Sep 2016, Nuremberg, Germany
Communication dans un congrès
lirmm-01457260
v1
|
|
|
A clustering technique for fast electrothermal analysis of on-chip power distribution networksSPI: Signal and Power Integrity, May 2016, Turin, Italy. ⟨10.1109/SaPIW.2016.7496292⟩
Communication dans un congrès
lirmm-01446283
v1
|
|
Investigation of the power-clock network impact on adiabatic logicSPI: Signal and Power Integrity, May 2016, Turin, Italy. ⟨10.1109/SaPIW.2016.7496270⟩
Communication dans un congrès
hal-01348476
v1
|
|
Present and future prospects of carbon nanotube interconnects for energy efficient integrated circuitsEuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2016, Montpellier, France. ⟨10.1109/EuroSimE.2016.7463379⟩
Communication dans un congrès
lirmm-01446241
v1
|
Electrothermal Modeling and Analysis of Carbon Nanotube InterconnectsPATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2016, Brême, Germany
Communication dans un congrès
lirmm-01457256
v1
|
|
|
Physical description and analysis of doped carbon nanotube interconnectsPATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2016, Brême, Germany. pp.250-255, ⟨10.1109/PATMOS.2016.7833695⟩
Communication dans un congrès
lirmm-01457338
v1
|
|
Carbon-based Power Delivery Networks for nanoscale ICs: electrothermal performance analysisIEEE-NANO: Nanotechnology, Jul 2015, Rome, Italy. pp.416-419, ⟨10.1109/NANO.2015.7388625⟩
Communication dans un congrès
lirmm-01446739
v1
|
Reliability and performance evaluation for STT-MRAM under temperature variationEuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2016, Montpellier, France. ⟨10.1109/EuroSimE.2016.7463380⟩
Communication dans un congrès
lirmm-01446252
v1
|
|
|
Quantitative evaluation of reliability and performance for STT-MRAMISCAS: International Symposium on Circuits and Systems, May 2016, Montréal, QC, Canada. pp.1150-1153, ⟨10.1109/ISCAS.2016.7527449⟩
Communication dans un congrès
lirmm-01446275
v1
|
|
Investigation of electrical and thermal properties of carbon nanotube interconnectsPATMOS: Power and Timing Modeling, Optimization and Simulation, Sep 2016, Brême, Zimbabwe. pp.25-32, ⟨10.1109/PATMOS.2016.7833421⟩
Communication dans un congrès
lirmm-01457289
v1
|
|
An architecture-level cache simulation framework supporting advanced PMA STT-MRAMNANOARCH: Nanoscale Architectures, Jun 2015, Boston, MA, United States. pp.7-12, ⟨10.1109/NANOARCH.2015.7180576⟩
Communication dans un congrès
lirmm-01248586
v1
|
|
A body-biasing of readout circuit for STT-RAM with improved thermal reliabilityISCAS: International Symposium on Circuits and Systems, May 2015, Lisbon, Portugal. pp.1530-1533, ⟨10.1109/ISCAS.2015.7168937⟩
Communication dans un congrès
lirmm-01720592
v1
|
|
On Analysis of On-chip DC-DC Converters for Power Delivery NetworksISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.557-560, ⟨10.1109/ISVLSI.2015.96⟩
Communication dans un congrès
lirmm-01446182
v1
|
Statistical Energy Study for 28nm FDSOI DevicesEuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2015, Budapest, Hungary. ⟨10.1109/EuroSimE.2015.7103149⟩
Communication dans un congrès
lirmm-01168602
v1
|
|
|
Carbon nanotube interconnects for energy-efficient integrated circuitsTNT: Trends in Nanotechnology, Sep 2015, Toulouse, France
Communication dans un congrès
lirmm-01446233
v1
|
On the Performance Exploration of 3D NoCs with Resistive-Open TSVsISVLSI 2015 - International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.579-584, ⟨10.1109/ISVLSI.2015.49⟩
Communication dans un congrès
lirmm-01248588
v1
|
|
A node clustering reduction scheme for power grids electrothermal analysisSPI: Signal and Power Integrity, May 2015, Berlin, Germany. ⟨10.1109/SaPIW.2015.7237399⟩
Communication dans un congrès
lirmm-01248589
v1
|
|
|
Exploring potentials of perpendicular magnetic anisotropy STT-MRAM for cache designICSICT: International Conference on Solid-State and Integrated Circuit Technology, Oct 2014, Guilin, China. ⟨10.1109/ICSICT.2014.7021342⟩
Communication dans un congrès
lirmm-01248593
v1
|
Path delay test in the presence of multi-aggressor crosstalk, power supply noise and ground bounceDDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.207-212, ⟨10.1109/DDECS.2014.6868791⟩
Communication dans un congrès
lirmm-01248599
v1
|
|
Test and diagnosis of power switchesDDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.213-218, ⟨10.1109/DDECS.2014.6868792⟩
Communication dans un congrès
lirmm-01248590
v1
|
|
|
A Delay Probability Metric for Input Pattern Ranking Under Process Variation and Supply NoiseISVLSI: International Symposium on Very Large Scale Integration, Jul 2014, Tampa, FL, United States. pp.226-231, ⟨10.1109/ISVLSI.2014.42⟩
Communication dans un congrès
lirmm-01248592
v1
|
Electro-thermal characterization of Through-Silicon ViasEuroSimE, Apr 2014, Ghent, Belgium. ⟨10.1109/EuroSimE.2014.6813859⟩
Communication dans un congrès
lirmm-01973585
v1
|
|
|
TSV aware timing analysis and diagnosis in paths with multiple TSVsVTS: VLSI Test Symposium, Apr 2014, Napa, CA, United States. ⟨10.1109/VTS.2014.6818772⟩
Communication dans un congrès
lirmm-01248594
v1
|
A Comprehensive Evaluation of Functional Programs for Power-Aware TestNATW: North Atlantic Test Workshop, May 2014, Johnson City, NY, United States. pp.69-72, ⟨10.1109/NATW.2014.23⟩
Communication dans un congrès
lirmm-01248597
v1
|
|
Performance exploration of partially connected 3D NoCs under manufacturing variabilityNEWCAS 2014 - 12th IEEE International New Circuits and Systems Conference, Jun 2014, Trois-Rivieres, QC, Canada. pp.61-64, ⟨10.1109/NEWCAS.2014.6933985⟩
Communication dans un congrès
lirmm-01248595
v1
|
|
Timing-aware ATPG for critical paths with multiple TSVsDDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.116-121, ⟨10.1109/DDECS.2014.6868774⟩
Communication dans un congrès
lirmm-01248600
v1
|
|
|
An intra-cell defect grading toolDDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.298-301, ⟨10.1109/DDECS.2014.6868814⟩
Communication dans un congrès
lirmm-01248591
v1
|
Power supply noise-aware workload assignments for homogeneous 3D MPSoCs with thermal considerationASP-DAC: Asia and South Pacific Design Automation Conference, Jan 2014, Singapore, Singapore. pp.544-549, ⟨10.1109/ASPDAC.2014.6742948⟩
Communication dans un congrès
lirmm-01248596
v1
|
|
iBoX — Jitter based Power Supply Noise sensorETS: European Test Symposium, May 2014, Paderborn, United States. ⟨10.1109/ETS.2014.6847830⟩
Communication dans un congrès
lirmm-01248601
v1
|
|
Real-Time Testing of 90nm COTS SRAMs at Concordia Station in AntarcticaNSREC: Nuclear and Space Radiation Effects Conference, Jul 2014, Paris, France
Communication dans un congrès
lirmm-01237709
v1
|
|
Efficient Dynamic Test Methods for COTS SRAMs Under Heavy Ion IrradiationNSREC: Nuclear and Space Radiation Effects Conference, Jul 2014, Paris, France
Communication dans un congrès
lirmm-01237660
v1
|
|
Investigation of horizontally aligned carbon nanotubes for efficient power delivery in 3D ICsSPI: Signal and Power Integrity, May 2014, Ghent, Belgium. ⟨10.1109/SaPIW.2014.6844535⟩
Communication dans un congrès
lirmm-01973590
v1
|
|
Protecting combinational logic in pipelined microprocessor cores against transient and permanent faultsDDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.223-225, ⟨10.1109/DDECS.2014.6868794⟩
Communication dans un congrès
lirmm-01248598
v1
|
|
Analyzing resistive-open defects in SRAM core-cell under the effect of process variabilityETS: European Test Symposium, May 2013, Avignon, France. ⟨10.1109/ETS.2013.6569373⟩
Communication dans un congrès
lirmm-01921630
v1
|
|
|
Performance Characterization of TAS-MRAM Architectures in Presence of Capacitive DefectsVALID: Advances in System Testing and Validation Lifecycle, Oct 2013, Venice, Italy. pp.39-44
Communication dans un congrès
lirmm-01433308
v1
|
Characterization of an SRAM Based Particle Detector For Mixed-Field Radiation EnvironmentsIWASI: International Workshop on Advances in Sensors and Interfaces, Jun 2013, Bari, Italy. pp.75-80, ⟨10.1109/IWASI.2013.6576070⟩
Communication dans un congrès
lirmm-00839046
v1
|
|
On the Reuse of Read and Write Assist Circuits to Improve Test Efficiency in Low-Power SRAMsITC: International Test conference, Sep 2013, Anaheim, CA, United States. pp.1-10, ⟨10.1109/TEST.2013.6651927⟩
Communication dans un congrès
lirmm-00818977
v1
|
|
SRAM Soft Error Rate Evaluation Under Atmospheric Neutron Radiation and PVT variationsIOLTS: International On-Line Testing Symposium, Jul 2013, Chania, Crete, Greece. pp.145-150, ⟨10.1109/IOLTS.2013.6604066⟩
Communication dans un congrès
lirmm-00818955
v1
|
|
Adaptive Source Bias for Improved Resistive-Open Defect Coverage during SRAM TestingATS: Asian Test Symposium, Nov 2013, Jiaosi Township, Taiwan. pp.109-114, ⟨10.1109/ATS.2013.30⟩
Communication dans un congrès
lirmm-01248609
v1
|
|
On the correlation between Static Noise Margin and Soft Error Rate evaluated for a 40nm SRAM cellDFT: Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2013, New York, United States. pp.143-148, ⟨10.1109/DFT.2013.6653597⟩
Communication dans un congrès
lirmm-01238413
v1
|
|
A novel method to mitigate TSV electromigration for 3D ICsISVLSI: IEEE Computer Society Annual Symposium on VLSI, Aug 2013, Natal, Brazil. pp.121-126, ⟨10.1109/ISVLSI.2013.6654633⟩
Communication dans un congrès
lirmm-01248617
v1
|
|
|
Test Solution for Data Retention Faults in Low-Power SRAMsDATE 2013 - 16th Design, Automation and Test in Europe Conference, Mar 2013, Grenoble, France. pp.442-447, ⟨10.7873/DATE.2013.099⟩
Communication dans un congrès
lirmm-00805140
v1
|
Temperature Impact on the Neutron SER of a Commercial 90nm SRAMNSREC: Nuclear and Space Radiation Effects Conference, Jul 2013, San Francisco, Ca, United States. pp.1-4
Communication dans un congrès
lirmm-00805291
v1
|
|
Fast and Accurate Electro-Thermal Analysis of Three-Dimensional Power Delivery NetworksEuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2013, Wroclaw, Poland. pp.1-4, ⟨10.1109/EuroSimE.2013.6529956⟩
Communication dans un congrès
lirmm-00839043
v1
|
|
Effect-Cause Intra-Cell Diagnosis at Transistor LevelISQED 2013 - 14th International Symposium on Quality Electronic Design, Mar 2013, Santa Clara, CA, United States. pp.460-467, ⟨10.1109/ISQED.2013.6523652⟩
Communication dans un congrès
lirmm-00817224
v1
|
|
|
Multiple-Cell-Upsets on a commercial 90nm SRAM in Dynamic ModeRADECS: Radiation and Its Effects on Components and Systems, Sep 2013, Oxford, United Kingdom. pp.1-4, ⟨10.1109/RADECS.2013.6937429⟩
Communication dans un congrès
lirmm-00839062
v1
|
Frequency domain power and thermal integrity analysis of 3D power delivery networksSPI: Signal and Power Integrity, May 2013, Paris, France. ⟨10.1109/SaPIW.2013.6558328⟩
Communication dans un congrès
lirmm-01973645
v1
|
|
Mitigate TSV Electromigration for 3D ICs - From the Architecture PerspectiveInternational Symposium on VLSI, Natale, Brazil. pp.6
Communication dans un congrès
lirmm-00839052
v1
|
|
Evaluating An SEU Monitor For Mixed-Field Radiation EnvironmentsiWoRID: International Workshop on Radiation Imaging Detectors, SOLEIL Synchrotron, Jun 2013, Paris, France
Communication dans un congrès
lirmm-01238433
v1
|
|
Improving Defect Localization Accuracy by means of Effect-Cause Intra-Cell Diagnosis at Transistor LevelSDD: Silicon Debug and Diagnosis, Sep 2013, Anaheim, CA, United States
Communication dans un congrès
lirmm-00806872
v1
|
|
SEU Monitoring in Mixed-Field Radiation Environments of Particle AcceleratorsRADECS: Radiation and Its Effects on Components and Systems, Sep 2013, Oxford, United Kingdom. pp.1-4, ⟨10.1109/RADECS.2013.6937419⟩
Communication dans un congrès
lirmm-00839085
v1
|
|
Worst-Case Power Supply Noise and Temperature Distribution Analysis for 3D PDNs with Multiple Clock DomainsNEWCAS: New Circuits and Systems, Jun 2013, Paris, France. ⟨10.1109/NEWCAS.2013.6573628⟩
Communication dans un congrès
lirmm-00839042
v1
|
|
Analyzing the effect of concurrent variability in the core cells and sense amplifiers on SRAM read access failuresDTIS: Design and Technology of Integrated Systems in Nanoscale Era, Mar 2013, Abu Dhabi, United Arab Emirates. pp.39-44, ⟨10.1109/DTIS.2013.6527775⟩
Communication dans un congrès
lirmm-01248603
v1
|
|
A Built-in Scheme for Testing and Repairing Voltage Regulators of Low-Power SRAMsVTS: VLSI Test Symposium, Apr 2013, Berkeley, CA, United States. pp.1-6, ⟨10.1109/VTS.2013.6548894⟩
Communication dans un congrès
lirmm-00805366
v1
|
|
Computing Detection Probability of Delay Defects in Signal Line TSVsETS: European Test Symposium, May 2013, Avignon, France. ⟨10.1109/ETS.2013.6569349⟩
Communication dans un congrès
lirmm-00839044
v1
|
|
A Novel Framework for Evaluating the SRAM Core-Cell Sensitivity to NeutronsRADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2012, Biarritz, France. pp.1-4
Communication dans un congrès
lirmm-00805163
v1
|
|
Resistive-Open Defects Affecting Bit-Line Selection in TAS-MRAM ArchitecturesJNRDM: Journées Nationales du Réseau Doctoral en Microélectronique, 2012, Paris, France
Communication dans un congrès
lirmm-00806827
v1
|
|
Power Supply Noise Sensor Based on Timing Uncertainty MeasurementsATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp.161-166, ⟨10.1109/ATS.2012.46⟩
Communication dans un congrès
lirmm-00806890
v1
|
|
SRAM testing under Neutron Radiation for the evaluation of different algorithms stress15ème Journées Nationales du Réseau Doctoral en Microélectronique, Jun 2012, Marseille, France
Communication dans un congrès
lirmm-00807054
v1
|
|
Low-power SRAMs Power Mode Control Logic: Failure Analysis and Test SolutionsITC'2012: International Test Conference, Nov 2012, Anaheim, CA, United States. pp.1-10, ⟨10.1109/TEST.2012.6401578⟩
Communication dans un congrès
lirmm-00805143
v1
|
|
|
Electro-Thermal Analysis of 3D Power Delivery NetworksDAC: Design Automation Conference, 2012, San Francisco, United States
Communication dans un congrès
lirmm-00806836
v1
|
Dynamic Mode Test of a Commercial 4Mb Toggle MRAM under Neutron RadiationRADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2012, Biarritz, France. pp.1-4
Communication dans un congrès
lirmm-00805165
v1
|
|
Radiation Induced Effects on Electronic Systems and ICsSETS: South European Test Seminar, Mar 2012, Sauze d'Oulx, Italy
Communication dans un congrès
lirmm-00807055
v1
|
|
Fault Localization Improvement through an Intra-Cell Diagnosis ApproachISTFA 2012 - 38th International Symposium for Testing and Failure Analysis, Nov 2012, Phoenix, AZ, United States. pp.509-519
Communication dans un congrès
lirmm-00806863
v1
|
|
Defect Localization Through an Effect-Cause based Intra-Cell DiagnosisColloque GDR SoC-SiP, 2012, Paris, France
Communication dans un congrès
lirmm-00806841
v1
|
|
Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM ArchitecturesDATE 2012 - 15th Design, Automation and Test in Europe Conference and Exhibition, Mar 2012, Dresden, Germany. pp.532-537, ⟨10.1109/DATE.2012.6176526⟩
Communication dans un congrès
lirmm-00689024
v1
|
|
Resistive-Open Defect Analysis for Through-Silicon-ViasDCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France
Communication dans un congrès
lirmm-00806803
v1
|
|
Dynamic Mode Testing of SRAMS under Neutron RadiationSixième colloque du GDR SOC-SIP du CNRS, Jun 2012, Paris, France
Communication dans un congrès
lirmm-00807053
v1
|
|
Defect Analysis in Power Mode Control Logic of Low-Power SRAMsETS: European Test Symposium, May 2012, Annecy, France. ⟨10.1109/ETS.2012.6233033⟩
Communication dans un congrès
lirmm-00805374
v1
|
|
|
Impact of Resistive-Bridge Defects in TAS-MRAM ArchitecturesATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp.125-130, ⟨10.1109/ATS.2012.37⟩
Communication dans un congrès
lirmm-00806809
v1
|
A Pseudo-Dynamic Comparator for Error Detection in Fault Tolerant ArchitecturesVTS: VLSI Test Symposium, Apr 2012, Hawaii, United States. pp.50-55, ⟨10.1109/VTS.2012.6231079⟩
Communication dans un congrès
lirmm-00806778
v1
|
|
Adaptive Voltage Scaling via Effective On-Chip Timing Uncertainty MeasurementsColloque GDR SoC-SiP, 2012, Paris, France
Communication dans un congrès
lirmm-00806859
v1
|
|
Evaluation of Test Algorithms Stress Effect on SRAMs under Neutron RadiationIOLTS: International On-Line Testing Symposium, Jun 2012, Sitges, Spain. pp.212-222, ⟨10.1109/IOLTS.2012.6313853⟩
Communication dans un congrès
lirmm-00805373
v1
|
|
Impacts of Resistive-Open Defects in the Word-Line Selection of TAS-MRAMsColloque GDR SoC-SiP, 2012, Paris, France
Communication dans un congrès
lirmm-00806842
v1
|
|
Why and How Controlling Power Consumption During Test: A SurveyATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp. 221-226, ⟨10.1109/ATS.2012.30⟩
Communication dans un congrès
lirmm-00818984
v1
|
|
Through-Silicon-Via Resistive-Open Defect AnalysisETS: European Test Symposium, May 2012, Annecy, France. ⟨10.1109/ETS.2012.6233037⟩
Communication dans un congrès
lirmm-00806848
v1
|
|
Complete Framework for the Estimation of the SRAM Core-Cell Resilience to RadiationRADECS: Radiation and its Effects on Components and Systems, Sep 2012, Biarritz, France
Communication dans un congrès
hal-01935785
v1
|
|
Failure Analysis and Test Solutions for Low-Power SRAMsATS: Asian Test Symposium, Nov 2011, New Delhi, India. pp.459-460, ⟨10.1109/ATS.2011.97⟩
Communication dans un congrès
lirmm-00805123
v1
|
|
Power Supply Noise and Ground Bounce Aware Pattern Generation for Delay TestingNEWCAS: International New Circuits and Systems Conference, Jun 2011, Bordeaux, France. pp.73-76, ⟨10.1109/NEWCAS.2011.5981222⟩
Communication dans un congrès
lirmm-00647815
v1
|
|
A Study of Path Delay Variations in the Presence of Uncorrelated Power and Ground Supply NoiseDDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2011, Cottbus, Germany. pp.189-194, ⟨10.1109/DDECS.2011.5783078⟩
Communication dans un congrès
lirmm-00592000
v1
|
|
Power-Aware Test Pattern Generation for At-Speed LOS TestingATS: Asian Test Symposium, Nov 2011, New Delhi, India. pp.506-510
Communication dans un congrès
lirmm-00651917
v1
|
|
Simultaneous Power and Thermal Integrity Analysis for 3D Integrated SystemsLPonTR'11: IEEE International Workshop on the Impact of Low Power on Test and Reliability, Trondheim, Norway
Communication dans un congrès
lirmm-00651802
v1
|
|
Analysis of Resistive-Open Defects in TAS-MRAM ArrayITC: International Test Conference, Sep 2011, Anaheim, CA, United States
Communication dans un congrès
lirmm-00679524
v1
|
|
|
The Fast Track real time processor and its impact on muon isolation, tau and b-jet online selections at ATLASReal Time Conference (RT), 2010 17th IEEE-NPSS, 2010, Unknown, Unknown Region. pp.1-8, ⟨10.1109/RTC.2010.5750337⟩
Communication dans un congrès
lirmm-01248627
v1
|
Performance studies of CMS Pixel Tracker using DC-DC conversion powering schemeIEEE Nuclear Science Symposuim & Medical Imaging Conference, 2010, Knoxville, TN, United States. ⟨10.1109/NSSMIC.2010.5873924⟩
Communication dans un congrès
lirmm-01973723
v1
|
|
A study of decoupling capacitor effectiveness in power and ground grid networksISQED 2009 - 10th International Symposium on Quality Electronic Design, Mar 2009, San Jose, CA, United States. pp.653-658, ⟨10.1109/ISQED.2009.4810371⟩
Communication dans un congrès
lirmm-01248628
v1
|
|
|
Power distribution studies for CMS forward trackerIEEE Nuclear Science Symposium Conference Record (NSS/MIC), 2009, Orlando, United States. ⟨10.1109/NSSMIC.2009.5402390⟩
Communication dans un congrès
lirmm-01973782
v1
|
Electromigration study of power-gated gridsISLPED: International Symposium on Low Power Electronics and Design, Aug 2009, San Francisco, United States. pp.315-318, ⟨10.1145/1594233.1594311⟩
Communication dans un congrès
lirmm-01973747
v1
|
|
Power supply noise aware workload assignment for multi-core systemsICCAD: International Conference on Computer-Aided Design, 2008, San Jose, CA, United States. ⟨10.1109/ICCAD.2008.4681594⟩
Communication dans un congrès
lirmm-01973860
v1
|
|
A study of reliability issues in clock distribution networksIEEE International Conference on Computer Design, 2008, Lake Tahoe, CA, United States. ⟨10.1109/ICCD.2008.4751847⟩
Communication dans un congrès
lirmm-01973807
v1
|
|
Electromigration and voltage drop aware power grid optimization for power gated ICsISLPED: International Symposium on Low Power Electronics and Design, 2007, Portland, OR, United States. ⟨10.1145/1283780.1283866⟩
Communication dans un congrès
lirmm-01973890
v1
|
|
Analysis and optimization of power-gated ICs with multiple power gating configurationsICCAD: International Conference on Computer-Aided Design, 2007, San Jose, CA, United States. ⟨10.1109/ICCAD.2007.4397361⟩
Communication dans un congrès
lirmm-01973830
v1
|
Multi-Scale Investigation of Self-Assembly Induced by Capillary-Forces in Arrays of Carbon Nanotubes—From Density Functional Theory to Gecko’s Effect2023 MRS Spring Meeting & Exhibit, Apr 2023, San Francisco (CA), United States
Poster de conférence
lirmm-04093664
v1
|
|
Digital Oscillatory Neural Networks for AI Edge Applications17e Colloque National du GDR SoC², Jun 2023, Lyon, France
Poster de conférence
hal-04129966
v1
|
|
|
Digital Oscillatory Neural Networks for AI Edge Applications16e Colloque National du GDR SoC², Jun 2022, Strasbourg, France.
Poster de conférence
lirmm-03737606
v1
|
TCAD Modeling of Response Characteristics of VO2-Based Sensory NeuronANS 2022 - Albany Nanotechnology Symposium, Nov 2022, Albany, NY, United States.
Poster de conférence
hal-03936349
v1
|
|
|
Solving the Travelling Salesman Problem in Continuous Phase Domain with Neuromorphic Oscillatory Neural NetworksAI, Neuroscience and Hardware: From Neural to Artificial Systems and Back Again, Sep 2022, Bonn, Germany.
Poster de conférence
hal-03846335
v1
|
|
Ab Initio Quantum Mechanical Simulations of Defective MoS2 and Au Interfaces in 2D nanodevicesMEMRISYS 2022 - 5th International Conference on Memristive Materials, Devices & Systems, Nov 2022, Cambridge, MA, United States.
Poster de conférence
lirmm-04023845
v1
|
|
Exploring Multi-programming Applications in the NISQ EraQuantum Information Processing, Mar 2022, Pasadena, United States
Poster de conférence
lirmm-03665905
v1
|
|
Exploring Multi-programming Applications in the NISQ EraBristol Quantum Information Technologies Workshop, Apr 2022, Bristol, United Kingdom
Poster de conférence
lirmm-03665917
v1
|
TCAD Electrothermal Simulations of Beyond-CMOS VO2 temperature-sensing neuron devicesMEMRISYS 2022 - 5th International Conference on Memristive Materials, Devices & Systems, Nov 2022, Boston, MA, United States. 2022
Poster de conférence
hal-03936388
v1
|
|
|
FPGA Implementation of Oscillatory Neural Networks for Artificial Intelligence Edge ComputingACM Europe Summer school on HPC Computer Architectures for AI and Dedicated Applications, Aug 2021, Barcelona (Virtual), Spain
Poster de conférence
lirmm-03351242
v1
|
|
A Hardware-aware Heuristic for the Qubit Mapping Problem in the NISQ Era15ème Colloque National du GDR SoC², Jun 2021, Rennes, France.
Poster de conférence
lirmm-03275340
v1
|
|
EU H2020 NEURONN: 2D Oscillatory Neural Networks For Energy Efficient Neuromorphic Computing15ème Colloque National du GDR SoC², Jun 2021, Rennes, France. , 2021
Poster de conférence
lirmm-03270397
v1
|
MoS2 for Unconventional Computing and Biosensing Applications: A First Principles StudyJTMS 2021 - Journées "Théorie, Modélisation et Simulation", Dec 2021, Rennes, France.
Poster de conférence
lirmm-03482154
v1
|
|
Mobile Robot Obstacle Avoidance with Oscillatory Neural Networks on FPGAIBM-IEEE AI Compute Symposium, Oct 2021, Virtual, France
Poster de conférence
lirmm-03361187
v1
|
|
|
EU H2020 NeurONN: Two-Dimensional Oscillatory Neural Networks for Energy Efficient Neuromorphic ComputingEuroNanoForum 2021, May 2021, Braga, Portugal
Poster de conférence
hal-03364335
v1
|
|
Assessment of 1D and 2D Materials for Health Monitoring Wearable Devices15e Colloque National du GDR SoC², Jun 2021, Rennes, France. , 2021
Poster de conférence
lirmm-03275754
v1
|
A Hardware-aware Heuristic for the Qubit Mapping Problem in the NISQ EraEQTC 2021 - 2nd European Quantum Technologies Conference, Nov 2021, Virtual, Ireland. , 2021
Poster de conférence
lirmm-03413170
v1
|
|
Ultimate Power Dissipation for Computing based on Energy-Reversible and Capacitive Electromechanical Devices13e Colloque National Du GDR SoC², Jun 2019, Montpellier, France. , 2019
Poster de conférence
lirmm-02132536
v1
|
|
SmartVista: Smart Autonomous Multi Modal Sensors for Vital Signs MonitoringWorkshop on ‘Smart Bioelectronic and Wearable Systems’, Oct 2019, Brussels, Belgium. , 2019
Poster de conférence
lirmm-02387949
v1
|
|
Piezoelectric Sensors Based on 1D/2D Materials for Smart Health Monitoring IoT13e Colloque National du GDR SoC², Jun 2019, Montpellier, France. , 2019
Poster de conférence
lirmm-02132507
v1
|
|
Progress on Pt-Salt Doped Carbon Nanotubes for Local Interconnects12e Colloque National du GDR SoC/SiP, Jun 2018, Paris, France. 2018
Poster de conférence
lirmm-02132496
v1
|
|
4-Phase Resonant Power-Clock Supply for Adiabatic Logic11e Colloque National du GDR SoC/SiP, Jun 2017, Bordeaux, France. 2017
Poster de conférence
lirmm-01800297
v1
|
|
Investigation of Reliability and Performance for STT-MRAM under PVT Variations10e Colloque National du GDR SoC/SiP, Jun 2016, Nantes, France. , 2016
Poster de conférence
lirmm-01457244
v1
|
|
Impact of Power-Clock Network on Adiabatic LogicColloque du GDR SoC-SiP, Jun 2016, Nantes, France. , 10ème Colloque National du GDR SoC-SiP, 2016
Poster de conférence
lirmm-01456996
v1
|
|
Small Delay Defect Investigation in Critical Path Delay with Multiple TSVsEMicro-NE, Oct 2015, Campina Grande, Brazil. , X Escola de Microeletrônica do Nordeste, 2015
Poster de conférence
lirmm-01456983
v1
|
|
Carbon Nanotubes for Energy Efficient Integrated CircuitsCNRS Colloque Physique Theorique et ses Interfaces, Nov 2014, Paris, France. 2014
Poster de conférence
lirmm-01456951
v1
|
|
On Carbon Nanotubes as VLSI InterconnectsCMOS Emerging Technology Research Symposium, Jul 2014, Grenoble, France. 2014
Poster de conférence
lirmm-01456947
v1
|
|
Fault-Effect Propagation Based Intra-cell Scan Chain DiagnosisColloque GDR SoC-SiP, Jun 2013, Lyon, France. 2013
Poster de conférence
lirmm-00839113
v1
|
|
Performance Evaluation of Capacitive defects on TAS-MRAMsColloque GDR SoC-SiP, 2013, Lyon, France. 2013
Poster de conférence
lirmm-00839093
v1
|
|
Investigating Multiple-Cell-Upsets on a 90mn SRAMColloque GDR SoC-SiP, 2013, Lyon, France. 2013
Poster de conférence
lirmm-00839108
v1
|
|
Coupling-Based Resistive-Open Defects in TAS-MRAM ArchitecturesETS: European Test Symposium, May 2012, Annecy, France. Test Symposium (ETS), 2012 17th IEEE European, 2012, ⟨10.1109/ETS.2012.6233034⟩
Poster de conférence
lirmm-00806793
v1
|
|
Resistive Open Defect Analysis for Through-Silicon-ViasETS: European Test Symposium, May 2012, Annecy, France. 17th IEEE European Test Symposium, pp.183, 2012
Poster de conférence
lirmm-00806795
v1
|
Piezoresistive Sensor Device with Amplification ModuleFrance, Patent n° : EP18167680A. 2018
Brevet
lirmm-03023943
v1
|
|
Piezoelectric BiosensorFrance, Patent n° : EP18167670A. 2018
Brevet
lirmm-03023957
v1
|
Modeling Insulator-to-Metal Transition for Simulation of Oscillators based on Vanadium Dioxide for Applications in Neuromorphic Computing2021
Autre publication scientifique
hal-03402235
v1
|
|
|
NeurONN: des neurones oscillants pour une nouvelle architecture informatiqueActualités de l’INS2I (Institut des sciences de l'information et de leurs interactions), 2020
Autre publication scientifique
lirmm-03027940
v1
|
Power of Electronics and People’s Will2020
Autre publication scientifique
lirmm-03025347
v1
|
|
|
NeurONN: des neurones oscillants pour une nouvelle architecture informatiqueTechno-Science.net, 2020
Autre publication scientifique
lirmm-03027963
v1
|
|
SmartVista Open Day Workshop2019
Autre publication scientifique
lirmm-02387989
v1
|
Chargement...
Chargement...