Access content directly

Aida Todri-Sanial

284
Documents
Researcher identifiers
  • IdHAL todri
  • ResearcherId : M-5156-2013
  • ORCID 0000-0001-8573-2910
  • Google Scholar : https://scholar.google.fr/citations?user=-awivq4AAAAJ&hl=en
  • IdRef : 235598879
  • ResearcherId : http://www.researcherid.com/rid/M-5156-2013

Presentation

Welcome page! Personnal website: [https://www.lirmm.fr/aida-todri-sanial](https://www.lirmm.fr/~todri)
Website: [https://www.lirmm.fr/aida-todri-sanial](https://www.lirmm.fr/~todri)

Publications

Exploring Carbon Nanotubes for 3D Power Delivery Networks

Aida Todri-Sanial
Aida Todri-Sanial; Jean Dijon; Antonio Maffuci. Carbon Nanotubes for Interconnects, CRC Press, pp.283-314, 2017, 978-3-319-29746-0. ⟨10.1007/978-3-319-29746-0_10⟩
Book sections lirmm-01445018v1

Electromigration Alleviation Techniques for 3D Integrated Circuits

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
Chao Wang. High Performance Computing for Big Data: Methodologies and Applications, CRC Press, pp.37-58, 2017, 9781498783996
Book sections lirmm-01800220v1

Lumped Electro-Thermal Modeling and Analysis of Carbon Nanotube Interconnects

Aida Todri-Sanial
Saraju P. Mohanty; Ashok Srivastava. Nano-CMOS and Post-CMOS Electronics: Circuits and Design, IET, pp.201-218, 2016, Chapter 7, 978-1-84919-999-5. ⟨10.1049/PBCS030E_ch7⟩
Book sections lirmm-01445070v1

Exploration of Carbon Nanotubes For Efficient Power Delivery

Aida Todri-Sanial
Saraju P. Mohanty; Ashok Srivastava. Nano-CMOS and Post-CMOS Electronics: Devices and Modelling, IET, pp.265-286, 2016, Chapter 9, 9781849199971 (print) 9781849199988 (online). ⟨10.1049/PBCS029E_ch9⟩
Book sections lirmm-01445053v1

Overview of Physical Design Issues for 3D-Integrated Circuits

Aida Todri-Sanial
Aida Todri-Sanial; Chuan Seng Tan. Physical Design for 3D Integrated Circuits, CRC Press, pp.31-37, 2015, Chapter 2. PHYSICAL DESIGN METHODS FOR 3D INTEGRATION, 9781498710367
Book sections lirmm-01444992v1

Design Methodology for 3D Power Delivery Networks

Aida Todri-Sanial
A. Todri-Sanial; Ch. Seng Tan. Physical Design for 3D Integrated Circuits, CRC Press, pp.30, 2015, Chapter 8, 9781498710367
Book sections lirmm-01445808v1
Image document

A Mixed-Signal Oscillatory Neural Network for Scalable Analog Computations in Phase Domain

Corentin Delacour , Stefania Carapezzi , Gabriele Boschetto , Madeleine Abernot , Thierry Gil
2023
Preprints, Working Papers, ... hal-03961010v1
Image document

Analyzing Strategies for Dynamical Decoupling Insertion on IBM Quantum Computer

Siyuan Niu , Aida Todri-Sanial
2022
Preprints, Working Papers, ... lirmm-03655688v1
Image document

Energy-Performance Assessment of Oscillatory Neural Networks based on VO2 Devices for Future Edge AI Computing

Corentin Delacour , Stefania Carapezzi , Madeleine Abernot , Aida Todri-Sanial
2022
Preprints, Working Papers, ... lirmm-03591176v1
Image document

Pulse-level Noise Mitigation on Quantum Applications

Siyuan Niu , Aida Todri-Sanial
2022
Preprints, Working Papers, ... lirmm-03629922v1
Image document

Enabling multi-programming mechanism for quantum computing in the NISQ era

Siyuan Niu , Aida Todri-Sanial
2021
Preprints, Working Papers, ... lirmm-03133231v2

TCAD Electrothermal Simulations of Self-Oscillations in Vanadium Dioxide Devices for Oscillatory Neural Networks

Stefania Carapezzi , Elisabetta Corti , Ahmed Nejim , Siegfried Karg , Aida Todri-Sanial
2021
Preprints, Working Papers, ... lirmm-03231386v1

Design, Fabrication, and In-Vivo Characterization of Strain Sensors Based on 1D Nanomaterials for Health Monitoring Wearable Devices

Gabriele Boschetto , Xu Tieying , Mohamad Yehya , Stefania Carapezzi , Jérôme Thireau
2021
Preprints, Working Papers, ... lirmm-03363934v1
Image document

Electrochemical Glucose Sensor using Single-Wall Carbon Nanotube Field Effect Transistor

Reetu Raj Pandey , Jie Liang , Dilek Cakiroglu , Benoît Charlot , Aida Todri-Sanial
2020
Preprints, Working Papers, ... lirmm-02823189v1
Image document

Design Space Exploration Of Emerging Technologies For Energy Efficiency

Aida Todri-Sanial
Digital Libraries [cs.DL]. University of Montpellier, 2014
Habilitation à diriger des recherches tel-01255761v1
Image document

qprof: a gprof-inspired quantum profiler

Adrien Suau , Gabriel Staffelbach , Aida Todri-Sanial
ACM Transactions on Quantum Computing, 2023, 4 (1), pp.1-28. ⟨10.1145/3529398⟩
Journal articles lirmm-03765254v1
Image document

Simulation and implementation of two-layer oscillatory neural networks for image edge detection: bidirectional and feedforward architectures

Madeleine Abernot , Aida Todri-Sanial
Neuromorphic Computing and Engineering, 2023, 3, pp.014006. ⟨10.1088/2634-4386/acb2ef⟩
Journal articles lirmm-03817195v1
Image document

Training energy-based single-layer Hopfield and oscillatory networks with unsupervised and supervised algorithms for image classification

Madeleine Abernot , Aida Todri-Sanial
Neural Computing and Applications, 2023, 35, pp.18505-18518. ⟨10.1007/s00521-023-08672-0⟩
Journal articles hal-04125593v1
Image document

A mixed-signal oscillatory neural network for scalable analog computations in phase domain

Corentin Delacour , Stefania Carapezzi , Gabriele Boschetto , Madeleine Abernot , Thierry Gil
Neuromorphic Computing and Engineering, 2023, 3, pp.034004. ⟨10.1088/2634-4386/ace9f5⟩
Journal articles lirmm-04290523v1
Image document

How fast can vanadium dioxide neuron-mimicking devices oscillate? Physical mechanisms limiting the frequency of vanadium dioxide oscillators

Stefania Carapezzi , Andrew Plews , Gabriele Boschetto , Ahmed Nejim , Siegfried Karg
Neuromorphic Computing and Engineering, 2023, 3 (3), pp.034010. ⟨10.1088/2634-4386/acf2bf⟩
Journal articles lirmm-04230790v1
Image document

Supported Pt Nanoclusters on Single-Layer MoS2 for the Detection of Cortisol: From Atomistic Scale to Device Modeling

Gabriele Boschetto , Stefania Carapezzi , Aida Todri-Sanial
ACS Applied Electronic Materials, In press, ⟨10.1021/acsaelm.2c01722⟩
Journal articles lirmm-04058178v1
Image document

Enabling multi-programming mechanism for quantum computing in the NISQ era

Siyuan Niu , Aida Todri-Sanial
Quantum, 2023, 7, pp.925-959. ⟨10.22331/q-2023-02-16-925⟩
Journal articles hal-03615593v1
Image document

Oscillatory neural network learning for pattern recognition: an on-chip learning perspective and implementation

Madeleine Abernot , Nadine Azemard , Aida Todri-Sanial
Frontiers in Neuroscience, 2023, 17, pp.119679. ⟨10.3389/fnins.2023.1196796⟩
Journal articles hal-04129945v1

Electro‑thermal simulations of beyond‑CMOS vanadium dioxide devices and oscillators

Stefania Carapezzi , Gabriele Boschetto , Siegfried Karg , Aida Todri-Sanial
MRS Communications, 2022, ⟨10.1557/s43579-022-00196-3⟩
Journal articles hal-03729590v1
Image document

Carbon Nanotube SRAM in 5-nm Technology Node Design, Optimization, and Performance Evaluation--Part II: CNT Interconnect Optimization

Rongmei Chen , Lin Chen , Jie Liang , Yuanqing Cheng , Souhir Elloumi
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2022, 30 (4), pp.440-448. ⟨10.1109/TVLSI.2022.3146064⟩
Journal articles lirmm-03593103v1
Image document

Effects of Dynamical Decoupling and Pulse-Level Optimizations on IBM Quantum Computers

Siyuan Niu , Aida Todri-Sanial
IEEE Trans.Quantum Eng., 2022, 3 (3102510), pp.1-10. ⟨10.1109/TQE.2022.3203153⟩
Journal articles hal-03645284v1
Image document

Capillary-force-driven self-assembly of carbon nanotubes: from ab initio calculations to modeling of self-assembly

Stefania Carapezzi , Gabriele Boschetto , Aida Todri-Sanial
Nanoscale Advances, 2022, 4 (19), pp.4131-4137. ⟨10.1039/D2NA00295G⟩
Journal articles hal-03777645v1
Image document

Assessing doping strategies for monolayer MoS2 towards non-enzymatic detection of cortisol: a first-principles study

Gabriele Boschetto , Aida Todri-Sanial
Physical Chemistry Chemical Physics, 2022, 2 (24), pp.1048-1058. ⟨10.1039/D1CP04116A⟩
Journal articles lirmm-03363708v1
Image document

Carbon Nanotube SRAM in 5-nm Technology Node Design, Optimization, and Performance Evaluation--Part I: CNFET Transistor Optimization

Rongmei Chen , Lin Chen , Jie Liang , Yuanqing Cheng , Souhir Elloumi
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2022, 30 (4), pp.432-439. ⟨10.1109/TVLSI.2022.3146125⟩
Journal articles lirmm-03593054v1
Image document

How Frequency Injection Locking Can Train Oscillatory Neural Networks to Compute in Phase

Aida Todri-Sanial , Stefania Carapezzi , Corentin Delacour , Madeleine Abernot , Thierry Gil
IEEE Transactions on Neural Networks and Learning Systems, 2022, 33 (5), pp.1996-2009. ⟨10.1109/TNNLS.2021.3107771⟩
Journal articles lirmm-03164135v1
Image document

Ab Initio Computer Simulations on Interfacial Properties of Single-Layer MoS2 and Au Contacts for Two-Dimensional Nanodevices

Gabriele Boschetto , Stefania Carapezzi , Corentin Delacour , Madeleine Abernot , Thierry Gil
ACS Applied Nano Materials, 2022, 5 (8), pp.10192-10202. ⟨10.1021/acsanm.2c00995⟩
Journal articles lirmm-03658067v1
Image document

Role of ambient temperature in modulation of behavior of vanadium dioxide volatile memristors and oscillators for neuromorphic applications

Stefania Carapezzi , Corentin Delacour , Andrew Plews , Ahmed Nejim , Siegfried Karg
Scientific Reports, 2022, 12 (1), pp.19377. ⟨10.1038/s41598-022-23629-4⟩
Journal articles hal-03936310v1

Réseaux de neurones oscillants pour des calculs économes en énergie

Madeleine Abernot , Gabriele Boschetto , Stefania Carapezzi , Corentin Delacour , Thierry Gil
Techniques de l'Ingénieur, 2022, TIP402WEB (h5040), ⟨10.51257/a-v1-h5040⟩
Journal articles lirmm-03891853v1

Advanced Design Methods From Materials and Devices to Circuits for Brain-Inspired Oscillatory Neural Networks for Edge Computing

Stefania Carapezzi , Gabriele Boschetto , Corentin Delacour , Elisabetta Corti , Andrew Plews
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2021, 11 (4), pp.586-596. ⟨10.1109/JETCAS.2021.3128756⟩
Journal articles lirmm-03231504v1
Image document

Digital Implementation of Oscillatory Neural Network for Image Recognition Application

Madeleine Abernot , Thierry Gil , Manuel Jiménez Través , Juan Núñez , María José Avedillo de Juan
Frontiers in Neuroscience, 2021, 15, pp.#713054. ⟨10.3389/fnins.2021.713054⟩
Journal articles lirmm-03185020v1
Image document

Insights Into the Dynamics of Coupled VO 2 Oscillators for ONNs

Juan Núñez , José M. Quintana , María José Avedillo de Juan , Manuel Jiménez Través , Aida Todri-Sanial
IEEE Transactions on Circuits and Systems II: Express Briefs, 2021, 68 (10), pp.3356-3360. ⟨10.1109/TCSII.2021.3085133⟩
Journal articles lirmm-03432278v1
Image document

Oscillatory Neural Networks Using VO2 Based Phase Encoded Logic

Juan Núñez , María José Avedillo de Juan , Manuel Jiménez Través , José M. Quintana , Aida Todri-Sanial
Frontiers in Neuroscience, 2021, 15, pp.#655823. ⟨10.3389/fnins.2021.655823⟩
Journal articles lirmm-03432290v1
Image document

Mapping Hebbian Learning Rules to Coupling Resistances for Oscillatory Neural Networks

Corentin Delacour , Aida Todri-Sanial
Frontiers in Neuroscience, 2021, 15, pp.#694549. ⟨10.3389/fnins.2021.694549⟩
Journal articles lirmm-03197299v1
Image document

Graphene and Carbon Nanotubes for Electronics Nanopackaging

Gabriele Boschetto , Stefania Carapezzi , Aida Todri-Sanial
IEEE Open Journal of Nanotechnology, 2021, 2, pp.120-128. ⟨10.1109/OJNANO.2021.3127652⟩
Journal articles lirmm-03430793v1
Image document

Energy Autonomous Wearable Sensors for Smart Healthcare: A Review

Abhishek Singh Dahiya , Jérôme Thireau , Jamila Boudaden , Swatchith Lal , Umair Gulzar
Journal of The Electrochemical Society, 2020, JES Focus Issue on Sensor Reviews, 167 (3), ⟨10.1149/2.0162003JES⟩
Journal articles lirmm-02387984v1
Image document

A Hardware-Aware Heuristic for the Qubit Mapping Problem in the NISQ Era

Siyuan Niu , Adrien Suau , Gabriel Staffelbach , Aida Todri-Sanial
IEEE Transactions on Quantum Engineering, inPress, ⟨10.1109/TQE.2020.3026544⟩
Journal articles lirmm-02956191v1
Image document

1D Nanomaterial‐Based Highly Stretchable Strain Sensors for Human Movement Monitoring and Human–Robotic Interactive Systems

Abhishek Singh Dahiya , Thierry Gil , Jérôme Thireau , Nadine Azemard , Alain Lacampagne
Advanced Electronic Materials, inPress, ⟨10.1002/aelm.202000547⟩
Journal articles lirmm-02932782v1
Image document

Editorial TVLSI Positioning—Continuing and Accelerating an Upward Trajectory

Massimo Alioto , Magdy S. Abadir , Tughrul Arslan , Chirn Chye Boon , Andreas Burg
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2019, 27 (2), pp.253-280. ⟨10.1109/TVLSI.2018.2886389⟩
Journal articles lirmm-02171964v1
Image document

Investigation of Pt-Salt-Doped-Standalone-Multiwall Carbon Nanotubes for On-Chip Interconnect Applications

Jie Liang , Rongmei Chen , Raphaël Ramos , Jaeyoung Lee , Hanako Okuno
IEEE Transactions on Electron Devices, 2019, 66 (5), pp.2346-2352. ⟨10.1109/TED.2019.2901658⟩
Journal articles lirmm-02082869v1
Image document

Emerging technologies and computing paradigms for the Internet of Everything applications

Aida Todri-Sanial , Xueqing Li , Juan Núñez
International Journal of Circuit Theory and Applications, 2019, 47 (9), pp.1381-1382. ⟨10.1002/cta.2666⟩
Journal articles lirmm-02171974v1
Image document

Understanding Electromigration in Cu-CNT Composite Interconnects: A Multiscale Electrothermal Simulation Study

Jaehyun Lee , Salim Berrada , Fikru Adamu-Lema , Nicole Nagy , Vihar P. Georgiev
IEEE Transactions on Electron Devices, 2018, 65 (9), pp.3884-3892. ⟨10.1109/TED.2018.2853550⟩
Journal articles lirmm-01867729v1
Image document

Power Supply Noise Aware Task Scheduling on Homogeneous 3D MPSoCs Considering the Thermal Constraint

Ying-Lin Zhao , Jianlei Yang , Weisheng Zhao , Aida Todri-Sanial , Yuanqing Cheng
Journal of Computer Science and Technology, 2018, 33 (5), pp.966-983. ⟨10.1007/s11390-018-1868-6⟩
Journal articles lirmm-01879928v1
Image document

A high-reliability and low-power computing-in-memory implementation within STT-MRAM

Liuyang Zhang , Erya Deng , Hao Cai , You Wang , Lionel Torres
Microelectronics Journal, 2018, 81, pp.69-75. ⟨10.1016/j.mejo.2018.09.005⟩
Journal articles lirmm-01880058v1
Image document

Addressing the Thermal Issues of STT-MRAM From Compact Modeling to Design Techniques

Liuyang Zhang , Yuanqing Cheng , Kang Wang , Lionel Torres , Youguang Zhang
IEEE Transactions on Nanotechnology, 2018, 17 (2), pp.345-352. ⟨10.1109/TNANO.2018.2803340⟩
Journal articles lirmm-01880065v1
Image document

Variability Study of MWCNT Local Interconnects Considering Defects and Contact Resistances - Part II: Impact of Charge Transfer Doping

Rongmei Chen , Jie Liang , Jaehyun Lee , Vihar P. Georgiev , Raphael Ramos
IEEE Transactions on Electron Devices, 2018, 65 (11), pp.4963-4970. ⟨10.1109/TED.2018.2868424⟩
Journal articles lirmm-01879940v1
Image document

Atomistic to Circuit-Level Modeling of Doped SWCNT for On-Chip Interconnects

Jie Liang , Jaehyun Lee , Salim Berrada , Vihar Georgiev , Reetu Raj Pandey
IEEE Transactions on Nanotechnology, 2018, 17 (6), pp.1084-1088. ⟨10.1109/TNANO.2018.2802320⟩
Journal articles lirmm-01795792v1
Image document

Variability study of MWCNT local interconnects considering defects and contact resistances - Part I: pristine MWCNT

Rongmei Chen , Jie Liang , Jaehyun Lee , Vihar P. Georgiev , Raphael Ramos
IEEE Transactions on Electron Devices, 2018, 65 (11), pp.4955-4962. ⟨10.1109/TED.2018.2868421⟩
Journal articles lirmm-01879935v1

A Survey of Carbon Nanotube Interconnects for Energy Efficient Integrated Circuits

Aida Todri-Sanial , Raphael Ramos , Hanako Okuno , Jean Dijon , Abitha Dhavamani
IEEE Circuits and Systems Magazine -New Series-, 2017, 17 (2), pp.47-62. ⟨10.1109/MCAS.2017.2689538⟩
Journal articles lirmm-01795757v1
Image document

A Study of 3-D Power Delivery Networks With Multiple Clock Domains

Aida Todri-Sanial , Yuanqing Cheng
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016, 24 (11), pp.3218-3231. ⟨10.1109/TVLSI.2016.2549275⟩
Journal articles lirmm-01446137v1
Image document

Temperature Impact Analysis and Access Reliability Enhancement for 1T1MTJ STT-RAM

Bi Wu , Yuanqing Cheng , Jianlei Yang , Aida Todri-Sanial , Weisheng Zhao
IEEE Transactions on Reliability, 2016, 65 (4), pp.1755-1768. ⟨10.1109/TR.2016.2608910⟩
Journal articles lirmm-01446148v1

Electrothermal Analysis of Carbon Nanotubes Power Delivery Networks for Nanoscale Integrated Circuits

Alessandro Magnani , Massimiliano De Magistris , Aida Todri-Sanial , Antonio Maffucci
IEEE Transactions on Nanotechnology, 2016, 15 (3), pp.380-388. ⟨10.1109/TNANO.2016.2535390⟩
Journal articles lirmm-01445865v1
Image document

Alleviating Through-Silicon-Via Electromigration for 3-D Integrated Circuits Taking Advantage of Self-Healing Effect

Yuanqing Cheng , Aida Todri-Sanial , Jianlei Yang , Weisheng Zhao
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016, 24 (11), pp.3310-3322. ⟨10.1109/TVLSI.2016.2543260⟩
Journal articles lirmm-01446125v1

Special Issue on Advances in Design of Ultra-Low Power Circuits and Systems in Emerging Technologies

Aida Todri-Sanial , Sanjukta Bhanja
ACM Journal on Emerging Technologies in Computing Systems, 2015, Guest Editorial, 12 (2), pp.#11. ⟨10.1145/2756554⟩
Journal articles lirmm-01255756v1

A Complete Resistive-Open Defect Analysis for Thermally Assisted Switching MRAMs

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 22 (11), pp.2326-2335. ⟨10.1109/TVLSI.2013.2294080⟩
Journal articles lirmm-01248578v1

Multiple Cell Upset Classification in Commercial SRAMs

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
IEEE Transactions on Nuclear Science, 2014, 61 (4), pp.1747-1754. ⟨10.1109/TNS.2014.2313742⟩
Journal articles lirmm-01234446v1
Image document

Evaluating a Radiation Monitor for Mixed-Field Environments based on SRAM Technology

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Journal of Instrumentation, 2014, 9 (5), pp.#C05052. ⟨10.1088/1748-0221/9/05/C05052⟩
Journal articles lirmm-01234448v1

An SRAM Based Monitor for Mixed-Field Radiation Environments

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
IEEE Transactions on Nuclear Science, 2014, 61 (4), pp.1663-1670. ⟨10.1109/TNS.2014.2299733⟩
Journal articles lirmm-01234441v1
Image document

Globally Constrained Locally Optimized 3-D Power Delivery Networks

Aida Todri-Sanial , Sandip Kundu , Patrick Girard , Alberto Bosio , Luigi Dilillo
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 22 (10), pp.2131-2144. ⟨10.1109/TVLSI.2013.2283800⟩
Journal articles lirmm-01255754v1
Image document

Uncorrelated Power Supply Noise and Ground Bounce Consideration for Test Pattern Generation

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2013, 21 (5), pp.958-970. ⟨10.1109/TVLSI.2012.2197427⟩
Journal articles lirmm-00806774v1
Image document

A Study of Tapered 3-D TSVs for Power and Thermal Integrity

Aida Todri-Sanial , Sandip Kundu , Patrick Girard , Alberto Bosio , Luigi Dilillo
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2013, 21 (2), pp.306-319. ⟨10.1109/TVLSI.2012.2187081⟩
Journal articles lirmm-00806776v1

Testing a Commercial MRAM under Neutron and Alpha Radiation in Dynamic Mode

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
IEEE Transactions on Nuclear Science, 2013, 60 (4), pp.2617-2622. ⟨10.1109/TNS.2013.2239311⟩
Journal articles lirmm-00805005v1

Power Delivery for Multicore Systems

Aida Todri-Sanial , Malgorzata Marek-Sadowska
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2011, 19 (12), pp.2243-2255. ⟨10.1109/TVLSI.2010.2080694⟩
Journal articles lirmm-01248575v1

Enhancement of the ATLAS trigger system with a hardware tracker finder FTK

A. Andreani , A. Andreazza , A. Annovi , M. Beretta , V. Bevacqua
Journal of Instrumentation, 2010, 5, ⟨10.1088/1748-0221/5/12/C12037⟩
Journal articles lirmm-01973463v1

Reliability and Performance Studies of DC-DC Conversion Powering Scheme for the CMS Pixel Tracker at SLHC

Aida Todri-Sanial , L. Perera , R. Rivera , S. Kwan
Journal of Instrumentation, 2010, 5 (C12010), ⟨10.1088/1748-0221/5/12/C12010⟩
Journal articles lirmm-01255752v1

Reliability Analysis and Optimization of Power-Gated ICs

Aida Todri-Sanial , Malgorzata Marek-Sadowska
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2009, 19 (3), pp.457-468. ⟨10.1109/TVLSI.2009.2036267⟩
Journal articles lirmm-01880086v1

Beyond CMOS Sensory Neuron Devices Based on Vanadium Dioxide for In-Sensory Computing

Stefania Carapezzi , Gabriele Boschetto , Aida Todri-Sanial
2023 MRS Spring Meeting & Exhibit, Apr 2023, San Francisco (CA), United States
Conference papers lirmm-04093332v1
Image document

Two-Layered Oscillatory Neural Networks with Analog Feedforward Majority Gate for Image Edge Detection Application

Madeleine Abernot , Corentin Delacour , Ahmet Suna , J. Marty Gregg , Siegfried Karg
ISCAS 2023 - IEEE International Symposium on Circuits and Systems, May 2023, Monterey, CA, United States. In press
Conference papers hal-04007951v1
Image document

SIFT-ONN: SIFT Feature Detection Algorithm Employing ONNs for Edge Detection

Madeleine Abernot , Sylvain Gauthier , Théophile Gonos , Aida Todri
NICE 2023 - Neuro-Inspired Computational Elements Workshop, Apr 2023, San Antonio, TX, United States. ⟨10.1145/3584954.3584999⟩
Conference papers hal-04007933v1

Oscillatory Neural Networks Applications for Edge Computing

Madeleine Abernot , Théophile Gonos , Aida Todri-Sanial
HiPEAC 2023 - European Conference on High-performance Embedded Architecture and Compilation, Jan 2023, Toulouse, France
Conference papers hal-03945180v1
Image document

Oscillatory Neural Networks Implemented on FPGA for Edge Computing Applications

Madeleine Abernot , Aida Todri-Sanial
DATE 2023 - 26th Design, Automation and Test in Europe Conference, Apr 2023, Antwerp, Belgium
Conference papers hal-04007911v1

Multi-Scale Simulations of Supported Pt Nanoclusters on Single-Layer MoS2 for Chemiresistive Wearable Biosensors

Gabriele Boschetto , Stefania Carapezzi , Aida Todri-Sanial
2023 MRS Spring Meeting & Exhibit, Apr 2023, San Francisco, United States
Conference papers lirmm-04093322v1
Image document

ONN-Based On-chip Learning for Obstacle Avoidance on Mobile Robot

Madeleine Abernot , Thierry Gil , Aida Todri-Sanial
SSI 2023 - Smart System Integration Conference, Mar 2023, Bruges, Belgium
Conference papers hal-04007886v1

Effect of Physical Properties on Collective Behavior of Coupled Vanadium Dioxide Oscillators for Neuromorphic Computing Applications

Stefania Carapezzi , Aida Todri-Sanial
E-MRS 2022 - Spring Meeting of the European Materials Research Society, May 2022, Virtual Conference, France
Conference papers hal-03670507v1
Image document

Ab Initio Simulations of Defective Metal Contacts in Beyond-CMOS Devices Based on Single-layer MoS2: Impact of Small and Extended Defects

Gabriele Boschetto , Stefania Carapezzi , Aida Todri-Sanial
Graphene 2022 - 12th European Conference in Graphene and 2D Materials, Jul 2022, Aachen, Germany
Conference papers lirmm-03658118v1
Image document

On-Chip Learning with a 15-neuron Digital Oscillatory Neural Network Implemented on ZYNQ Processor

Madeleine Abernot , Thierry Gil , Aida Todri-Sanial
ICONS 2022 - International Conference on Neuromorphic Systems, Jul 2022, Knoxville, Tennessee (hybrid), United States. ⟨10.1145/3546790.3546822⟩
Conference papers lirmm-03737597v1

Modelling Defective MoS2 and Au Interfaces in 2D Memristors by Combining DFT with Green’s Function Surface Calculations

Gabriele Boschetto , Stefania Carapezzi , Aida Todri-Sanial
MRS 2022 Fall Meeting, Nov 2022, Boston, United States
Conference papers lirmm-03761881v1
Image document

VO2-based Oscillatory Ising Machine: The Role of External Temperature on Performance

Corentin Delacour , Stefania Carapezzi , Gabriele Boschetto , Madeleine Abernot , Thierry Gil
NANO 2022 - 22nd IEEE International Conference on Nanotechnology, Jul 2022, Palma de Mallorca, Spain. In press ?
Conference papers lirmm-03725704v1
Image document

Oscillatory Neural Network as Hetero-Associative Memory for Image Edge Detection

Madeleine Abernot , Thierry Gil , Aida Todri-Sanial
NICE 2022 - 9th Neuro-Inspired Computational Elements Workshop, Mar 2022, New York (Virtual), United States. pp.13-21, ⟨10.1145/3517343.3517348⟩
Conference papers lirmm-03586865v1

Simulations of Volatile Memristors Based on Vanadium Dioxide: Linking Material Properties to the Dynamics of Neuromorphic Circuits

Stefania Carapezzi , Gabriele Boschetto , Corentin Delacour , Aida Todri-Sanial
MRS 2022 Fall Meeting, Nov 2022, Boston, United States
Conference papers hal-03762103v1
Image document

Oscillatory Neural Networks for Obstacle Avoidance on Mobile Surveillance Robot E4

Madeleine Abernot , Thierry Gil , Evgenii Kurylin , Tanguy Hardelin , Alexandre Magueresse
IJCNN 2022 - IEEE International Joint Conference on Neural Networks, Jul 2022, Padova, Italy. pp.1-8, ⟨10.1109/IJCNN55064.2022.9891923⟩
Conference papers lirmm-03666874v1

First-Principles Simulations of Vacancies and Grain Boundaries in Monolayer MoS2-Au Interfaces for Unconventional Computing Paradigm

Gabriele Boschetto , Stefania Carapezzi , Corentin Delacour , Madeleine Abernot , Thierry Gil
E-MRS 2022 - Spring Meeting of the European Materials Research Society, May 2022, Online Event, France
Conference papers lirmm-03658097v1

Simulation Toolchain for Neuromorphic Oscillatory Neural Networks Based on Beyond-CMOS Vanadium Dioxide Devices

Stefania Carapezzi , Corentin Delacour , Aida Todri-Sanial
FLEPS 2022 - IEEE International Conference on Flexible and Printable Sensors and Systems, Jul 2022, Wien, Austria. pp.1-4, ⟨10.1109/FLEPS53764.2022.9781525⟩
Conference papers hal-03670565v1

Oscillatory Neural Network for Edge Computing: A Mobile Robot Obstacle Avoidance Application

Madeleine Abernot , Hamza Amara , Thierry Gil , Aida Todri-Sanial
MetroXRAINE 2022 - IEEE International Conference on Metrology for Extended Reality, Artificial Intelligence, and Neural Engineering, Oct 2022, Rome, Italy. pp.181-186, ⟨10.1109/MetroXRAINE54828.2022.9967581⟩
Conference papers lirmm-03844104v1
Image document

Multi-programming Cross Platform Benchmarking for Quantum Computing Hardware

Siyuan Niu , Aida Todri-Sanial
QRE 2022 - 4th International Workshop on Quantum Resource Estimation, Jun 2022, New York, United States. ⟨10.48550/arXiv.2206.03144⟩
Conference papers lirmm-03690212v1

Exploring Supported Metal Nanoclusters on MoS2 for the Chemical Detection of Biomolecules in Health Monitoring Wearable Devices

Gabriele Boschetto , Stefania Carapezzi , Aida Todri-Sanial
MRS 2022 Spring Meeting & Exhibit, May 2022, Online Event, United States
Conference papers lirmm-03593773v1

Electrothermal Simulations of Synchronization Dynamics of Coupled Beyond-CMOS Vanadium Dioxide Oscillators for Neuromorphic Computing Applications

Stefania Carapezzi , Corentin Delacour , Aida Todri-Sanial
MRS 2022 Spring Meeting & Exhibit, Materials Research Society, May 2022, Honolulu, United States
Conference papers lirmm-03610810v1
Image document

How Parallel Circuit Execution Can Be Useful for NISQ Computing?

Siyuan Niu , Aida Todri-Sanial
DATE 2022 - 25th Design, Automation and Test in Europe Conference and Exhibition, Mar 2022, Antwerp, Belgium. pp.1065-1070, ⟨10.23919/DATE54114.2022.9774512⟩
Conference papers lirmm-03456555v1
Image document

Oscillatory Neural Networks for Edge AI Computing

Corentin Delacour , Stefania Carapezzi , Madeleine Abernot , Gabriele Boschetto , Nadine Azemard
ISVLSI 2021 - IEEE Computer Society Annual Symposium on VLSI, Jul 2021, Tampa, United States. pp.326-331, ⟨10.1109/ISVLSI51109.2021.00066⟩
Conference papers lirmm-03229257v1
Image document

Multi-Scale Modeling and Simulation Flow for Oscillatory Neural Networks for Edge Computing

Stefania Carapezzi , Corentin Delacour , Gabriele Boschetto , Elisabetta Corti , Madeleine Abernot
NEWCAS 2021 - 19th IEEE International New Circuits and Systems Conference, Jun 2021, Toulon, France. ⟨10.1109/NEWCAS50681.2021.9462761⟩
Conference papers lirmm-03197160v1
Image document

First Principles Simulations of MoS2 Towards the Non-Enzymatic Sensing of Cortisol

Gabriele Boschetto , Stefania Carapezzi , Aida Todri-Sanial
Graphene 2021 - 11th European Conference in Graphene and 2D Materials, Oct 2021, Grenoble, France
Conference papers lirmm-03363969v1
Image document

MoS2 as the Sensing Platform for the Non-Enzymatic Detection of Cortisol: A First-Principles Study

Gabriele Boschetto , Stefania Carapezzi , Aida Todri-Sanial
ImagineNano2021, Nov 2021, Bilbao, Spain
Conference papers lirmm-03430916v1

VO2 oscillators on Si platform for neuromorphic computing applications

Stefania Carapezzi , Elisabetta Corti , Gabriele Boschetto , Siegfried Karg , Aida Todri-Sanial
E-MRS 2021 - Fall Meeting of the European Materials Research Society, Sep 2021, Warsaw, Poland
Conference papers lirmm-03270170v1
Image document

Energy Efficient Neuromorphic Computing with Oscillatory Neural Networks

Aida Todri-Sanial
E-MRS 2021 - Fall Meeting of the European Materials Research Society, Dec 2021, Boston, MA, United States
Conference papers lirmm-03363877v1

Beyond CMOS Devices for Low-Power Oscillatory Neural Networks for Edge AI Computing

Stefania Carapezzi , Gabriele Boschetto , Corentin Delacour , Madeleine Abernot , Thierry Gil
Albany Nanotechnology Symposium, 2021, New York, United States
Conference papers lirmm-03365217v1
Image document

Analyzing crosstalk error in the NISQ era

Siyuan Niu , Aida Todri-Sanial
ISVLSI 2021 - IEEE Computer Society Annual Symposium on VLSI, Jul 2021, Tampa, FL (virtual), United States. pp.428-430, ⟨10.1109/ISVLSI51109.2021.00084⟩
Conference papers lirmm-03246688v1
Image document

Quantum Mechanical Simulations of 2D Materials for Unconventional Computing and Biosensing Applications

Gabriele Boschetto , Stefania Carapezzi , Aida Todri-Sanial
MC 2021 - 15th International Conference on Materials Chemistry, Jul 2021, Online Event, Ireland
Conference papers lirmm-03220960v1
Image document

A Hardware-aware Heuristic for the Qubit Mapping Problem in the NISQ Era

Siyuan Niu , Adrien Suau , Gabriel Staffelbach , Aida Todri-Sanial
YQIS 2021 - 6th International Conference for Young Quantum Information Scientists, Apr 2021, Online, United States
Conference papers lirmm-03197069v1
Image document

Investigating MoS2 as the Sensing Substrate for the Non-Enzymatic Detection of Cortisol via Quantum Mechanical DFT Simulations

Gabriele Boschetto , Stefania Carapezzi , Aida Todri-Sanial
JMJC 2021 - 9e Journées Méditerranéennes des Jeunes Chercheurs, Oct 2021, Montpellier, France
Conference papers lirmm-03414261v1
Image document

Energy Efficient Neuromorphic Computing with beyond-CMOS Oscillatory Neural Networks

Corentin Delacour , Stefania Carapezzi , Gabriele Boschetto , Aida Todri-Sanial
ICONS 2021 - International Conference on Neuromorphic Systems, Jul 2021, Oak Ridge (Virtual), United States
Conference papers lirmm-03229262v1
Image document

First-principles DFT simulations of MoS2 for the non-enzymatic detection of cortisol

Gabriele Boschetto , Stefania Carapezzi , Aida Todri-Sanial
E-MRS 2021 - Fall Meeting of the European Materials Research Society, Sep 2021, Online, Poland
Conference papers lirmm-03363666v1

Beyond-CMOS vanadium dioxide devices and oscillators for brain-like information processing

Stefania Carapezzi , Gabriele Boschetto , Siegfried Karg , Aida Todri-Sanial
E-MRS 2021 - Fall Meeting of the European Materials Research Society, Materials Research Society, Dec 2021, Boston, MA, United States
Conference papers lirmm-03270246v1
Image document

Exploring 1D and 2D Nanomaterials for Health Monitoring Wearable Devices

Gabriele Boschetto , Tieying Xu , Mohamad Yehya , Jérôme Thireau , Alain Lacampagne
FLEPS 2021 - IEEE International Conference on Flexible and Printable Sensors and Systems, Jun 2021, Virtual, United Kingdom. pp.1-4, ⟨10.1109/FLEPS51544.2021.9469864⟩
Conference papers lirmm-03363641v1
Image document

Dedicated Wearable Sensitive Strain Sensor, based on Carbon Nanotubes, for Monitoring the Rat Respiration Rate

Tieying Xu , Mohamad Yehya , Abhishek Singh , Thierry Gil , Patrice Bideaux
ECSA 2021 - 8th International Electronic Conference on Sensors and Applications, Nov 2021, Online, France. pp.27-34, ⟨10.3390/ecsa-8-11293⟩
Conference papers lirmm-03441142v1
Image document

2D Nanomaterials for Advancing Neuromorphic Computing

Aida Todri-Sanial
2DMAT 2021 - Global Summit and Expo on Graphene and 2D Materials, Aug 2021, Paris, France. pp.106
Conference papers lirmm-03354059v1
Image document

Beyond CMOS technologies for enabling integrating Artificial Intelligence at the Edge

Aida Todri-Sanial , Thierry Gil , Madeleine Abernot , Corentin Delacour , Stefania Carapezzi
EPoSS Annual Forum 2021, Oct 2021, Freiburg im Breisgau, Germany
Conference papers lirmm-03354108v1
Image document

Exploring multi-programming for quantum algorithms

Siyuan Niu , Aida Todri-Sanial
Quantum Computing (QC), 2021, online, France
Conference papers lirmm-03227814v1
Image document

Energy Consumption and Electronic Devices: Why we need to re-think chip design for AI?

Aida Todri-Sanial
Venue Parcours Recherche Ingénieur (PRI), 2021, Montpellier, France
Conference papers lirmm-03354075v1
Image document

Frequency Injection Locking-Controlled Oscillations for Synchronized Operations in VO2 Crossbar Devices

Elisabetta Corti , Corentin Delacour , Aida Todri-Sanial , Siegfried Karg
DRC 2021 - 79th Device Research Conference, Jun 2021, Santa Barbara (Virtual), United States. pp.1-2, ⟨10.1109/DRC52342.2021.9467129⟩
Conference papers lirmm-03197330v1
Image document

Stretchable Strain Sensors for Human Movement Monitoring

Abhishek Singh Dahiya , Thierry Gil , Nadine Azemard , Jérôme Thireau , Alain Lacampagne
DTIP 2020 - 22nd Symposium on Design, Test, Integration & Packaging of MEMS and MOEMS, Jun 2020, (Virtual ), France. ⟨10.1109/DTIP51112.2020.9139154⟩
Conference papers hal-02903236v1
Image document

Toward New Era of Computing: From Devices to Applications

Aida Todri-Sanial
What’s next in Computing ?, Jul 2020, Montpellier (virtual), France
Conference papers lirmm-03025126v1
Image document

EU H2020 NEURONN: Two-Dimensional Oscillatory Neural Networks for Energy Efficient Neuromorphic Computing

Aida Todri-Sanial , Stefania Carapezzi , Corentin Delacour , Madeleine Abernot , Eirini Karachristou
EFECS 2020 - European Forum for Electronic Components and Systems, Nov 2020, Brussels, Belgium
Conference papers lirmm-03024126v1
Image document

Design and Technology-level Optimization Challenges for Carbon Nanotube Circuits

Aida Todri-Sanial
EDA 2020 - International Workshop on Advanced Electronic Design Automation, Jan 2020, Xidian, China
Conference papers lirmm-02549406v1
Image document

A Look Into Physical Modeling and Design for Carbon Nanotube based Circuits

Aida Todri-Sanial
CASS 2020 - 10th IEEE CASS Rio Grande do Sul Workshop, Nov 2020, Virtual, Brazil
Conference papers lirmm-03025221v1
Image document

Quantum Computing: Pushing the limits of computing

Aida Todri-Sanial
IBM Think Digital Summit France, Oct 2020, Virtual, France
Conference papers lirmm-03025260v1
Image document

Progress and Challenges on Quantum Computer-Aided Design

Aida Todri-Sanial
IBM Quantum Summit, Sep 2020, Virtual, France
Conference papers lirmm-03025303v1
Image document

Neuromorphic Computing based on Oscillatory Neural Networks

Aida Todri-Sanial
SOPHI.A SUMMIT, Nov 2020, Sophia Antipolis, France
Conference papers lirmm-03022129v1
Image document

Quantum Challenges: Hardware and Software Perspectives

Aida Todri-Sanial
FRANCE IS AI, 2020, Online, France
Conference papers lirmm-03024235v1
Image document

Quantum Initiative at the University of Montpellier

Aida Todri-Sanial
French Tech London Quantum Webinar, Jun 2020, London, United Kingdom
Conference papers lirmm-03025169v1
Image document

An Emerging Trend in Post Moore Era: Monolithic 3D IC Technology

Aida Todri-Sanial
ASP-DAC 2020 - 25th Asia and South Pacific Design Automation Conference, Jan 2020, Beijing, China
Conference papers lirmm-02487849v1
Image document

NeurONN: Neuromorphic Computing with Oscillatory Neural Networks

Aida Todri-Sanial
Phase-Change Switch Workshop, 2020, Virtual, France
Conference papers lirmm-03098863v1
Image document

Using Oscillatory Neural Network for Pattern Recognition and Mobile Robot Control

Madeleine Abernot , Thierry Gil , Aida Todri-Sanial
SOPHI.A SUMMIT, Nov 2020, Sophia Antipolis, France
Conference papers lirmm-03023088v1
Image document

NeurONN: Neuromorphic Computing for Artificial Intelligence at the Edge

Stefania Carapezzi , Madeleine Abernot , Corentin Delacour , Nadine Azemard , Jérémie Salles
3rd AI Compute Symposium (IBM IEEE CAS/EDS), Oct 2020, Zurich (virtual), Switzerland
Conference papers lirmm-03009213v1
Image document

Physical design and optimisation methods for TSV-based 3D and monolithic 3D integration

Aida Todri-Sanial
ESSDERC-ESSCIRC 2019 - 15th Workshop on Heterogeneous Integration of Nanomaterials and Innovative Devices, Sep 2019, Krakow, Poland. pp.217-220
Conference papers lirmm-02387999v1
Image document

Reliable Power Delivery and Analysis of Power-Supply Noise During Testing in Monolithic 3D ICs

Abhishek Koneru , Aida Todri-Sanial , Krishnendu Chakrabarty
VTS 2019 - 37th IEEE VLSI Test Symposium, Apr 2019, Monterey, CA, United States. ⟨10.1109/VTS.2019.8758650⟩
Conference papers lirmm-02131987v1
Image document

SmartVista: Smart Autonomous Multi Modal Sensors for Vital Signs Monitoring

Kafil M. Razeeb , Cian O'Murchu , Aida Todri-Sanial , Frederik Sebelius , Indranil Bose
SSI: Smart System Integration, Apr 2019, Barcelona, Spain
Conference papers lirmm-02132005v1
Image document

Importance of Interconnects: A Technology-System-Level Design Perspective

Jie Liang , Aida Todri-Sanial
IEDM 2019 - 65th IEEE International Electron Device Meeting, Dec 2019, San Francisco, United States. pp.23.1.1-23.1.4, ⟨10.1109/IEDM19573.2019.8993558⟩
Conference papers lirmm-02388007v1
Image document

A Robust Dual Reference Computing-in-Memory Implementation and Design Space Exploration Within STT-MRAM

Liuyang Zhang , Wang Kang , Hao Cai , Peng Ouyang , Lionel Torres
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2018, Hong Kong, China. pp.275-280, ⟨10.1109/ISVLSI.2018.00058⟩
Conference papers lirmm-01880184v1

Chameleon: A Thermally Adaptive Error Correction Code Design for STT-MRAM LLCs

Yuanqing Cheng , Dijun Liu , Aida Todri-Sanial , Ying Wang , Bi Wu
Design Automation Conference (DAC 2018), Jun 2018, San Fransisco, United States
Conference papers lirmm-01880209v1

Challenges and Progress on Carbon Nanotube Integration for BEOL Interconnects

Benjamin Uhlig , Abitha Dhavamani , Nicole Nagy , Katharina Lilienthal , R. Liske
IITC: International Interconnect Technology Conference, Jun 2018, Santa Clara, United States. pp.16-18, ⟨10.1109/IITC.2018.8430411⟩
Conference papers lirmm-01880138v1

Power-Supply Noise Analysis for Monolithic 3D ICs Using Electrical and Thermal Co-Simulation

Abhishek Koneru , Aida Todri-Sanial , Krishnendu Chakrabarty
25th IEEE International Conference on Electronics Circuits and Systems (ICECS 2018), Dec 2018, Bordeaux, France. pp.217-220, ⟨10.1109/ICECS.2018.8617951⟩
Conference papers lirmm-01880158v1
Image document

Progress on carbon nanotube BEOL interconnects

Benjamin Uhlig , Jie Liang , Lee Jaehyun , Raphael Ramos , Abitha Dhavamani
DATE 2018 - 21st Design, Automation and Test in Europe Conference and Exhibition, Mar 2018, Dresden, Germany. pp.937-942, ⟨10.23919/DATE.2018.8342144⟩
Conference papers lirmm-01880198v1
Image document

Atomistic to circuit level modeling of defective doped SWCNTs with contacts for on-chip interconnect application

Jie Liang , Lee Jaehyun , Salim Berrada , Vihar P. Georgiev , Asenov Asen
NMDC: Nanotechnology Materials and Devices Conference, Oct 2017, Singapore, Singapore. pp.66-67, ⟨10.1109/NMDC.2017.8350506⟩
Conference papers lirmm-01880220v1
Image document

Electrical performance of carbon-based power distribution networks with thermal effects

Alessandro Magnani , Massimiliano de Magistris , Salomeh Heidari , Aida Todri-Sanial , Antonio Maffucci
SPI: Signal and Power Integrity, May 2017, Baveno, Italy. ⟨10.1109/SaPIW.2017.7944044⟩
Conference papers lirmm-01795816v1
Image document

Power and Performance Analysis of Doped SW/DW CNT for On-Chip Interconnect Application

Aida Todri-Sanial , Jie Liang
GRAPHENE, Mar 2017, Barcelone, Spain
Conference papers lirmm-01800286v1
Image document

A hierarchical model for CNT and Cu-CNT composite interconnects: from density functional theory to circuit-level simulations

Lee Jaehyun , Sadi Toufik , Jie Liang , Vihar Petkov Georgiev , Aida Todri-Sanial
IWCN: International Workshop on Computational Nanotechnology, Jun 2017, Windermere, United Kingdom
Conference papers lirmm-01800290v1

Toward Carbon Nanotube Computing

Aida Todri-Sanial
Emerging Technology, May 2017, Varsovie, Poland
Conference papers lirmm-01457269v1
Image document

Atoms-to-circuits simulation investigation of CNT interconnects for next generation CMOS technology

Jaehyun Lee , Jie Liang , Salvatore Amoroso , Toufik Sadi , Liping Wang
SISPAD: Simulation of Semiconductor Processes and Devices, Sep 2017, Kamakura, Japan. pp.153-156, ⟨10.23919/SISPAD.2017.8085287⟩
Conference papers lirmm-01795803v1

A physics-based investigation of Pt-salt doped carbon nanotubes for local interconnects

Jie Liang , Raphael Ramos , Jean Dijon , H. Okuno , D. Kalita
IEDM: International Electron Devices Meeting, Dec 2017, San Francisco, United States. ⟨10.1109/IEDM.2017.8268502⟩
Conference papers lirmm-01795777v1

Synchronised 4-Phase Resonant Power Clock Supply for Energy Efficient Adiabatic Logic

Nicolas Jeanniot , Gaël Pillonnet , Pascal Nouet , Nadine Azemard , Aida Todri-Sanial
ICRC: International Conference on Rebooting Computing, Nov 2017, Washington, DC, United States. ⟨10.1109/ICRC.2017.8123661⟩
Conference papers lirmm-01768831v1
Image document

The impact of vacancy defects on CNT interconnects: From statistical atomistic study to circuit simulations

Jaehyun Lee , Salim Berrada , Jie Liang , Toufik Sadi , Vihar Georgiev
SISPAD: Simulation of Semiconductor Processes and Devices, Sep 2017, Kamakura, Japan. pp.157-160, ⟨10.23919/SISPAD.2017.8085288⟩
Conference papers lirmm-01795799v1
Image document

Investigation of electrical and thermal properties of carbon nanotube interconnects

Aida Todri-Sanial
PATMOS: Power and Timing Modeling, Optimization and Simulation, Sep 2016, Brême, Zimbabwe. pp.25-32, ⟨10.1109/PATMOS.2016.7833421⟩
Conference papers lirmm-01457289v1

Reliability and performance evaluation for STT-MRAM under temperature variation

Liuyang Zhang , Yuanqing Cheng , Wang Kang , Youguang Zhang , Lionel Torres
EuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2016, Montpellier, France. ⟨10.1109/EuroSimE.2016.7463380⟩
Conference papers lirmm-01446252v1
Image document

Quantitative evaluation of reliability and performance for STT-MRAM

Liuyang Zhang , Aida Todri-Sanial , Wang Kang , Youguang Zhang , Lionel Torres
ISCAS: International Symposium on Circuits and Systems, May 2016, Montréal, QC, Canada. pp.1150-1153, ⟨10.1109/ISCAS.2016.7527449⟩
Conference papers lirmm-01446275v1
Image document

Physical description and analysis of doped carbon nanotube interconnects

Jie Liang , Liuyang Zhang , Nadine Azemard , Pascal Nouet , Aida Todri-Sanial
PATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2016, Brême, Germany. pp.250-255, ⟨10.1109/PATMOS.2016.7833695⟩
Conference papers lirmm-01457338v1

Electrothermal Modeling and Analysis of Carbon Nanotube Interconnects

Aida Todri-Sanial
PATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2016, Brême, Germany
Conference papers lirmm-01457256v1
Image document

A clustering technique for fast electrothermal analysis of on-chip power distribution networks

Alessandro Magnani , Massimiliano De Magistris , Antonio Maffucci , Aida Todri-Sanial
SPI: Signal and Power Integrity, May 2016, Turin, Italy. ⟨10.1109/SaPIW.2016.7496292⟩
Conference papers lirmm-01446283v1

Modeling and Simulation of Carbon Nanotube Interconnects

Aida Todri-Sanial
SISPAD: Simulation of Semiconductor Processes and Devices, Sep 2016, Nuremberg, Germany
Conference papers lirmm-01457260v1
Image document

Present and future prospects of carbon nanotube interconnects for energy efficient integrated circuits

Aida Todri-Sanial , Alessandro Magnani , Massimiliano De Magistris , Antonio Maffucci
EuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2016, Montpellier, France. ⟨10.1109/EuroSimE.2016.7463379⟩
Conference papers lirmm-01446241v1
Image document

Investigation of the power-clock network impact on adiabatic logic

Nicolas Jeanniot , Aida Todri-Sanial , Pascal Nouet , Gaël Pillonnet , Hervé Fanet
SPI: Signal and Power Integrity, May 2016, Turin, Italy. ⟨10.1109/SaPIW.2016.7496270⟩
Conference papers hal-01348476v1
Image document

Carbon-based Power Delivery Networks for nanoscale ICs: electrothermal performance analysis

Alessandro Magnani , Massimiliano De Magistris , Aida Todri-Sanial , Antonio Maffucci
IEEE-NANO: Nanotechnology, Jul 2015, Rome, Italy. pp.416-419, ⟨10.1109/NANO.2015.7388625⟩
Conference papers lirmm-01446739v1

On the Performance Exploration of 3D NoCs with Resistive-Open TSVs

Charles Emmanuel Effiong , Vianney Lapotre , Abdoulaye Gamatié , Gilles Sassatelli , Aida Todri-Sanial
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.579-584, ⟨10.1109/ISVLSI.2015.49⟩
Conference papers lirmm-01248588v1
Image document

Carbon nanotube interconnects for energy-efficient integrated circuits

Aida Todri-Sanial
TNT: Trends in Nanotechnology, Sep 2015, Toulouse, France
Conference papers lirmm-01446233v1

A node clustering reduction scheme for power grids electrothermal analysis

Alessandro Magnani , M. de Magistris , Antonio Maffucci , Aida Todri-Sanial
SPI: Signal and Power Integrity, May 2015, Berlin, Germany. ⟨10.1109/SaPIW.2015.7237399⟩
Conference papers lirmm-01248589v1
Image document

A body-biasing of readout circuit for STT-RAM with improved thermal reliability

Lun Yang , Yuanqing Cheng , Yuhao Wang , Hao Yu , Weisheng Zhao
ISCAS: International Symposium on Circuits and Systems, May 2015, Lisbon, Portugal. pp.1530-1533, ⟨10.1109/ISCAS.2015.7168937⟩
Conference papers lirmm-01720592v1
Image document

An architecture-level cache simulation framework supporting advanced PMA STT-MRAM

Bi Wu , Yuanqing Cheng , Ying Wang , Aida Todri-Sanial , Guangyu Sun
NANOARCH: Nanoscale Architectures, Jun 2015, Boston, MA, United States. pp.7-12, ⟨10.1109/NANOARCH.2015.7180576⟩
Conference papers lirmm-01248586v1

Statistical Energy Study for 28nm FDSOI Devices

Rida Kheirallah , Jean-Marc J.-M. Galliere , Aida Todri-Sanial , Gilles R. Ducharme , Nadine Azemard
EuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2015, Budapest, Hungary. ⟨10.1109/EuroSimE.2015.7103149⟩
Conference papers lirmm-01168602v1
Image document

On Analysis of On-chip DC-DC Converters for Power Delivery Networks

Ghizlane Mouslih , Aida Todri-Sanial , Pascal Nouet
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.557-560, ⟨10.1109/ISVLSI.2015.96⟩
Conference papers lirmm-01446182v1

Protecting combinational logic in pipelined microprocessor cores against transient and permanent faults

Imran Wali , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.223-225, ⟨10.1109/DDECS.2014.6868794⟩
Conference papers lirmm-01248598v1

Path delay test in the presence of multi-aggressor crosstalk, power supply noise and ground bounce

Anu Asokan , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.207-212, ⟨10.1109/DDECS.2014.6868791⟩
Conference papers lirmm-01248599v1
Image document

Exploring potentials of perpendicular magnetic anisotropy STT-MRAM for cache design

Xiaolong Zhang , Yuanqing Cheng , Weisheng Zhao , Youguang Zhang , Aida Todri-Sanial
ICSICT: International Conference on Solid-State and Integrated Circuit Technology, Oct 2014, Guilin, China. ⟨10.1109/ICSICT.2014.7021342⟩
Conference papers lirmm-01248593v1

Power supply noise-aware workload assignments for homogeneous 3D MPSoCs with thermal consideration

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ASP-DAC: Asia and South Pacific Design Automation Conference, Jan 2014, Singapore, Singapore. pp.544-549, ⟨10.1109/ASPDAC.2014.6742948⟩
Conference papers lirmm-01248596v1
Image document

An intra-cell defect grading tool

Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial , Stefano Bernabovi
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.298-301, ⟨10.1109/DDECS.2014.6868814⟩
Conference papers lirmm-01248591v1

Timing-aware ATPG for critical paths with multiple TSVs

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.116-121, ⟨10.1109/DDECS.2014.6868774⟩
Conference papers lirmm-01248600v1
Image document

A Delay Probability Metric for Input Pattern Ranking Under Process Variation and Supply Noise

Anu Asokan , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2014, Tampa, FL, United States. pp.226-231, ⟨10.1109/ISVLSI.2014.42⟩
Conference papers lirmm-01248592v1

Test and diagnosis of power switches

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Aida Todri-Sanial , Arnaud Virazel
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.213-218, ⟨10.1109/DDECS.2014.6868792⟩
Conference papers lirmm-01248590v1

Investigation of horizontally aligned carbon nanotubes for efficient power delivery in 3D ICs

Aida Todri-Sanial
SPI: Signal and Power Integrity, May 2014, Ghent, Belgium. ⟨10.1109/SaPIW.2014.6844535⟩
Conference papers lirmm-01973590v1

iBoX — Jitter based Power Supply Noise sensor

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Aida Todri-Sanial , Arnaud Virazel
ETS: European Test Symposium, May 2014, Paderborn, United States. ⟨10.1109/ETS.2014.6847830⟩
Conference papers lirmm-01248601v1

Real-Time Testing of 90nm COTS SRAMs at Concordia Station in Antarctica

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2014, Paris, France
Conference papers lirmm-01237709v1

Efficient Dynamic Test Methods for COTS SRAMs Under Heavy Ion Irradiation

Georgios Tsiligiannis , Luigi Dilillo , Viyas Gupta , Alberto Bosio , Patrick Girard
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2014, Paris, France
Conference papers lirmm-01237660v1

A Comprehensive Evaluation of Functional Programs for Power-Aware Test

Aymen Touati , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
NATW: North Atlantic Test Workshop, May 2014, Johnson City, NY, United States. pp.69-72, ⟨10.1109/NATW.2014.23⟩
Conference papers lirmm-01248597v1
Image document

TSV aware timing analysis and diagnosis in paths with multiple TSVs

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
VTS: VLSI Test Symposium, Apr 2014, Napa, CA, United States. ⟨10.1109/VTS.2014.6818772⟩
Conference papers lirmm-01248594v1

Performance exploration of partially connected 3D NoCs under manufacturing variability

Anelise Kologeski , Fernanda Lima Kastensmidt , Vianney Lapotre , Abdoulaye Gamatié , Gilles Sassatelli
NEWCAS: New Circuits and Systems, Jun 2014, Trois-Rivieres, QC, Canada. pp.61-64, ⟨10.1109/NEWCAS.2014.6933985⟩
Conference papers lirmm-01248595v1

Electro-thermal characterization of Through-Silicon Vias

Aida Todri-Sanial
EuroSimE, Apr 2014, Ghent, Belgium. ⟨10.1109/EuroSimE.2014.6813859⟩
Conference papers lirmm-01973585v1

A Built-in Scheme for Testing and Repairing Voltage Regulators of Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
VTS: VLSI Test Symposium, Apr 2013, Berkeley, CA, United States. pp.1-6, ⟨10.1109/VTS.2013.6548894⟩
Conference papers lirmm-00805366v1

Computing Detection Probability of Delay Defects in Signal Line TSVs

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2013, Avignon, France. ⟨10.1109/ETS.2013.6569349⟩
Conference papers lirmm-00839044v1

Improving Defect Localization Accuracy by means of Effect-Cause Intra-Cell Diagnosis at Transistor Level

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
SDD: Silicon Debug and Diagnosis, Sep 2013, Anaheim, CA, United States
Conference papers lirmm-00806872v1

Worst-Case Power Supply Noise and Temperature Distribution Analysis for 3D PDNs with Multiple Clock Domains

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
NEWCAS: New Circuits and Systems, Jun 2013, Paris, France. ⟨10.1109/NEWCAS.2013.6573628⟩
Conference papers lirmm-00839042v1

Analyzing the effect of concurrent variability in the core cells and sense amplifiers on SRAM read access failures

Elena Ioana Vatajelu , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Mar 2013, Abu Dhabi, United Arab Emirates. pp.39-44, ⟨10.1109/DTIS.2013.6527775⟩
Conference papers lirmm-01248603v1

SEU Monitoring in Mixed-Field Radiation Environments of Particle Accelerators

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
RADECS: Radiation and Its Effects on Components and Systems, Sep 2013, Oxford, United Kingdom. pp.1-4, ⟨10.1109/RADECS.2013.6937419⟩
Conference papers lirmm-00839085v1

Analyzing resistive-open defects in SRAM core-cell under the effect of process variability

Elena Ioana Vatajelu , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ETS: European Test Symposium, May 2013, Avignon, France. ⟨10.1109/ETS.2013.6569373⟩
Conference papers lirmm-01921630v1
Image document

Performance Characterization of TAS-MRAM Architectures in Presence of Capacitive Defects

João Azevedo , Arnaud Virazel , Yuanqing Cheng , Alberto Bosio , Luigi Dilillo
VALID: Advances in System Testing and Validation Lifecycle, Oct 2013, Venice, Italy. pp.39-44
Conference papers lirmm-01433308v1

Characterization of an SRAM Based Particle Detector For Mixed-Field Radiation Environments

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
IWASI: International Workshop on Advances in Sensors and Interfaces, Jun 2013, Bari, Italy. pp.75-80, ⟨10.1109/IWASI.2013.6576070⟩
Conference papers lirmm-00839046v1
Image document

Multiple-Cell-Upsets on a commercial 90nm SRAM in Dynamic Mode

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
RADECS: Radiation and Its Effects on Components and Systems, Sep 2013, Oxford, United Kingdom. pp.1-4, ⟨10.1109/RADECS.2013.6937429⟩
Conference papers lirmm-00839062v1

Effect-Cause Intra-Cell Diagnosis at Transistor Level

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ISQED 2013 - 14th International Symposium on Quality Electronic Design, Mar 2013, Santa Clara, CA, United States. pp.460-467, ⟨10.1109/ISQED.2013.6523652⟩
Conference papers lirmm-00817224v1

Fast and Accurate Electro-Thermal Analysis of Three-Dimensional Power Delivery Networks

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
EuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2013, Wroclaw, Poland. pp.1-4, ⟨10.1109/EuroSimE.2013.6529956⟩
Conference papers lirmm-00839043v1

Temperature Impact on the Neutron SER of a Commercial 90nm SRAM

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2013, San Francisco, Ca, United States. pp.1-4
Conference papers lirmm-00805291v1

Frequency domain power and thermal integrity analysis of 3D power delivery networks

Aida Todri-Sanial
SPI: Signal and Power Integrity, May 2013, Paris, France. ⟨10.1109/SaPIW.2013.6558328⟩
Conference papers lirmm-01973645v1
Image document

Test Solution for Data Retention Faults in Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
DATE 2013 - 16th Design, Automation and Test in Europe Conference, Mar 2013, Grenoble, France. pp.442-447, ⟨10.7873/DATE.2013.099⟩
Conference papers lirmm-00805140v1

A novel method to mitigate TSV electromigration for 3D ICs

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ISVLSI: IEEE Computer Society Annual Symposium on VLSI, Aug 2013, Natal, Brazil. pp.121-126, ⟨10.1109/ISVLSI.2013.6654633⟩
Conference papers lirmm-01248617v1

On the correlation between Static Noise Margin and Soft Error Rate evaluated for a 40nm SRAM cell

Elena Ioana Vatajelu , Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard
DFT: Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2013, New York, United States. pp.143-148, ⟨10.1109/DFT.2013.6653597⟩
Conference papers lirmm-01238413v1

On the Reuse of Read and Write Assist Circuits to Improve Test Efficiency in Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ITC: International Test conference, Sep 2013, Anaheim, CA, United States. pp.1-10, ⟨10.1109/TEST.2013.6651927⟩
Conference papers lirmm-00818977v1

Evaluating An SEU Monitor For Mixed-Field Radiation Environments

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
iWoRID: International Workshop on Radiation Imaging Detectors, SOLEIL Synchrotron, Jun 2013, Paris, France
Conference papers lirmm-01238433v1

Mitigate TSV Electromigration for 3D ICs - From the Architecture Perspective

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
International Symposium on VLSI, Natale, Brazil. pp.6
Conference papers lirmm-00839052v1

SRAM Soft Error Rate Evaluation Under Atmospheric Neutron Radiation and PVT variations

Georgios Tsiligiannis , Elena Ioana Vatajelu , Luigi Dilillo , Alberto Bosio , Patrick Girard
IOLTS: International On-Line Testing Symposium, Jul 2013, Chania, Crete, Greece. pp.145-150, ⟨10.1109/IOLTS.2013.6604066⟩
Conference papers lirmm-00818955v1

Adaptive Source Bias for Improved Resistive-Open Defect Coverage during SRAM Testing

Elena Ioana Vatajelu , Alberto Bosio , Patrick Girard , Aida Todri-Sanial , Arnaud Virazel
ATS: Asian Test Symposium, Nov 2013, Jiaosi Township, Taiwan. pp.109-114, ⟨10.1109/ATS.2013.30⟩
Conference papers lirmm-01248609v1

Complete Framework for the Estimation of the SRAM Core-Cell Resilience to Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
RADECS: Radiation and its Effects on Components and Systems, Sep 2012, Biarritz, France
Conference papers hal-01935785v1

Impacts of Resistive-Open Defects in the Word-Line Selection of TAS-MRAMs

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
Colloque GDR SoC-SiP, 2012, Paris, France
Conference papers lirmm-00806842v1

Through-Silicon-Via Resistive-Open Defect Analysis

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2012, Annecy, France. ⟨10.1109/ETS.2012.6233037⟩
Conference papers lirmm-00806848v1

Why and How Controlling Power Consumption During Test: A Survey

Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial , Arnaud Virazel
ATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp. 221-226, ⟨10.1109/ATS.2012.30⟩
Conference papers lirmm-00818984v1

Adaptive Voltage Scaling via Effective On-Chip Timing Uncertainty Measurements

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
Colloque GDR SoC-SiP, 2012, Paris, France
Conference papers lirmm-00806859v1

Evaluation of Test Algorithms Stress Effect on SRAMs under Neutron Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
IOLTS: International On-Line Testing Symposium, Jun 2012, Sitges, Spain. pp.212-222, ⟨10.1109/IOLTS.2012.6313853⟩
Conference papers lirmm-00805373v1

A Novel Framework for Evaluating the SRAM Core-Cell Sensitivity to Neutrons

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
RADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2012, Biarritz, France. pp.1-4
Conference papers lirmm-00805163v1

Resistive-Open Defects Affecting Bit-Line Selection in TAS-MRAM Architectures

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
JNRDM: Journées Nationales du Réseau Doctoral en Microélectronique, 2012, Paris, France
Conference papers lirmm-00806827v1

Resistive-Open Defect Analysis for Through-Silicon-Vias

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France
Conference papers lirmm-00806803v1

Defect Analysis in Power Mode Control Logic of Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ETS: European Test Symposium, May 2012, Annecy, France. ⟨10.1109/ETS.2012.6233033⟩
Conference papers lirmm-00805374v1

Dynamic Mode Testing of SRAMS under Neutron Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
Sixième colloque du GDR SOC-SIP du CNRS, Jun 2012, Paris, France
Conference papers lirmm-00807053v1

Defect Localization Through an Effect-Cause based Intra-Cell Diagnosis

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
Colloque GDR SoC-SiP, 2012, Paris, France
Conference papers lirmm-00806841v1

Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
DATE 2012 - 15th Design, Automation and Test in Europe Conference and Exhibition, Mar 2012, Dresden, Germany. pp.532-537, ⟨10.1109/DATE.2012.6176526⟩
Conference papers lirmm-00689024v1

Fault Localization Improvement through an Intra-Cell Diagnosis Approach

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ISTFA 2012 - 38th International Symposium for Testing and Failure Analysis, Nov 2012, Phoenix, AZ, United States. pp.509-519
Conference papers lirmm-00806863v1

Dynamic Mode Test of a Commercial 4Mb Toggle MRAM under Neutron Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
RADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2012, Biarritz, France. pp.1-4
Conference papers lirmm-00805165v1

Radiation Induced Effects on Electronic Systems and ICs

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
SETS: South European Test Seminar, Mar 2012, Sauze d'Oulx, Italy
Conference papers lirmm-00807055v1

Power Supply Noise Sensor Based on Timing Uncertainty Measurements

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp.161-166, ⟨10.1109/ATS.2012.46⟩
Conference papers lirmm-00806890v1

Low-power SRAMs Power Mode Control Logic: Failure Analysis and Test Solutions

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ITC'2012: International Test Conference, Nov 2012, Anaheim, CA, United States. pp.1-10, ⟨10.1109/TEST.2012.6401578⟩
Conference papers lirmm-00805143v1

SRAM testing under Neutron Radiation for the evaluation of different algorithms stress

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
15ème Journées Nationales du Réseau Doctoral en Microélectronique, Jun 2012, Marseille, France
Conference papers lirmm-00807054v1

A Pseudo-Dynamic Comparator for Error Detection in Fault Tolerant Architectures

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
VTS: VLSI Test Symposium, Apr 2012, Hawaii, United States. pp.50-55, ⟨10.1109/VTS.2012.6231079⟩
Conference papers lirmm-00806778v1
Image document

Impact of Resistive-Bridge Defects in TAS-MRAM Architectures

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp.125-130, ⟨10.1109/ATS.2012.37⟩
Conference papers lirmm-00806809v1
Image document

Electro-Thermal Analysis of 3D Power Delivery Networks

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
DAC: Design Automation Conference, 2012, San Francisco, United States
Conference papers lirmm-00806836v1

Analysis of Resistive-Open Defects in TAS-MRAM Array

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ITC: International Test Conference, Sep 2011, Anaheim, CA, United States
Conference papers lirmm-00679524v1

Simultaneous Power and Thermal Integrity Analysis for 3D Integrated Systems

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
LPonTR'11: IEEE International Workshop on the Impact of Low Power on Test and Reliability, Trondheim, Norway
Conference papers lirmm-00651802v1

Power-Aware Test Pattern Generation for At-Speed LOS Testing

Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial , Arnaud Virazel
ATS: Asian Test Symposium, Nov 2011, New Delhi, India. pp.506-510
Conference papers lirmm-00651917v1

Power Supply Noise and Ground Bounce Aware Pattern Generation for Delay Testing

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
NEWCAS: International New Circuits and Systems Conference, Jun 2011, Bordeaux, France. pp.73-76, ⟨10.1109/NEWCAS.2011.5981222⟩
Conference papers lirmm-00647815v1

A Study of Path Delay Variations in the Presence of Uncorrelated Power and Ground Supply Noise

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2011, Cottbus, Germany. pp.189-194, ⟨10.1109/DDECS.2011.5783078⟩
Conference papers lirmm-00592000v1

Failure Analysis and Test Solutions for Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ATS: Asian Test Symposium, Nov 2011, New Delhi, India. pp.459-460, ⟨10.1109/ATS.2011.97⟩
Conference papers lirmm-00805123v1

Performance studies of CMS Pixel Tracker using DC-DC conversion powering scheme

Aida Todri-Sanial , Ryan Rivera , Simon Kwan , Lalith Perera
IEEE Nuclear Science Symposuim & Medical Imaging Conference, 2010, Knoxville, TN, United States. ⟨10.1109/NSSMIC.2010.5873924⟩
Conference papers lirmm-01973723v1

The Fast Track real time processor and its impact on muon isolation, tau and b-jet online selections at ATLAS

T. Liu , A. Mccarn , C. Melachrinos , C. Meroni , A. Negri
Real Time Conference (RT), 2010 17th IEEE-NPSS, 2010, Unknown, Unknown Region. pp.1-8, ⟨10.1109/RTC.2010.5750337⟩
Conference papers lirmm-01248627v1

Electromigration study of power-gated grids

Aida Todri-Sanial , Malgorzata Marek-Sadowska
ISLPED: International Symposium on Low Power Electronics and Design, Aug 2009, San Francisco, United States. pp.315-318, ⟨10.1145/1594233.1594311⟩
Conference papers lirmm-01973747v1

Power distribution studies for CMS forward tracker

Aida Todri-Sanial , Marcos Turqueti , Ryan Rivera , Simon Kwan
IEEE Nuclear Science Symposium Conference Record (NSS/MIC), 2009, Orlando, United States. ⟨10.1109/NSSMIC.2009.5402390⟩
Conference papers lirmm-01973782v1

A study of decoupling capacitor effectiveness in power and ground grid networks

Aida Todri-Sanial , Malgorzata Marek-Sadowska , François Maire , Christophe Matheron
ISQED 2009 - 10th International Symposium on Quality Electronic Design, Mar 2009, San Jose, CA, United States. pp.653-658, ⟨10.1109/ISQED.2009.4810371⟩
Conference papers lirmm-01248628v1

Power supply noise aware workload assignment for multi-core systems

Aida Todri-Sanial , Malgorzata Marek-Sadowska , Joseph Kozhaya
ICCAD: International Conference on Computer-Aided Design, 2008, San Jose, CA, United States. ⟨10.1109/ICCAD.2008.4681594⟩
Conference papers lirmm-01973860v1

A study of reliability issues in clock distribution networks

Aida Todri-Sanial , Malgorzata Marek-Sadowksa
IEEE International Conference on Computer Design, 2008, Lake Tahoe, CA, United States. ⟨10.1109/ICCD.2008.4751847⟩
Conference papers lirmm-01973807v1

Analysis and optimization of power-gated ICs with multiple power gating configurations

Aida Todri-Sanial , Malgorzata Marek-Sadowska , Shih-Chieh Chang
ICCAD: International Conference on Computer-Aided Design, 2007, San Jose, CA, United States. ⟨10.1109/ICCAD.2007.4397361⟩
Conference papers lirmm-01973830v1

Electromigration and voltage drop aware power grid optimization for power gated ICs

Aida Todri-Sanial , Shih-Chieh Chang , Malgorzata Marek-Sadowska
ISLPED: International Symposium on Low Power Electronics and Design, 2007, Portland, OR, United States. ⟨10.1145/1283780.1283866⟩
Conference papers lirmm-01973890v1