|
Two-Layered Oscillatory Neural Networks with Analog Feedforward Majority Gate for Image Edge Detection Application
Madeleine Abernot
,
Corentin Delacour
,
Ahmet Suna
,
J. Marty Gregg
,
Siegfried Karg
Conference papers
hal-04007951v1
|
|
Beyond CMOS Sensory Neuron Devices Based on Vanadium Dioxide for In-Sensory Computing
Stefania Carapezzi
,
Gabriele Boschetto
,
Aida Todri-Sanial
2023 MRS Spring Meeting & Exhibit, Apr 2023, San Francisco (CA), United States
Conference papers
lirmm-04093332v1
|
|
Multi-Scale Simulations of Supported Pt Nanoclusters on Single-Layer MoS2 for Chemiresistive Wearable Biosensors
Gabriele Boschetto
,
Stefania Carapezzi
,
Aida Todri-Sanial
2023 MRS Spring Meeting & Exhibit, Apr 2023, San Francisco, United States
Conference papers
lirmm-04093322v1
|
|
Oscillatory Neural Networks Implemented on FPGA for Edge Computing Applications
Madeleine Abernot
,
Aida Todri-Sanial
DATE 2023 - 26th Design, Automation and Test in Europe Conference, Apr 2023, Antwerp, Belgium
Conference papers
hal-04007911v1
|
|
Oscillatory Neural Networks Applications for Edge Computing
Madeleine Abernot
,
Théophile Gonos
,
Aida Todri-Sanial
HiPEAC 2023 - European Conference on High-performance Embedded Architecture and Compilation, Jan 2023, Toulouse, France
Conference papers
hal-03945180v1
|
|
SIFT-ONN: SIFT Feature Detection Algorithm Employing ONNs for Edge Detection
Madeleine Abernot
,
Sylvain Gauthier
,
Théophile Gonos
,
Aida Todri
NICE 2023 - Neuro-Inspired Computational Elements Workshop, Apr 2023, San Antonio, TX, United States. ⟨10.1145/3584954.3584999⟩
Conference papers
hal-04007933v1
|
|
ONN-Based On-chip Learning for Obstacle Avoidance on Mobile Robot
Madeleine Abernot
,
Thierry Gil
,
Aida Todri-Sanial
SSI 2023 - Smart System Integration Conference, Mar 2023, Bruges, Belgium
Conference papers
hal-04007886v1
|
|
Oscillatory Neural Network as Hetero-Associative Memory for Image Edge Detection
Madeleine Abernot
,
Thierry Gil
,
Aida Todri-Sanial
NICE 2022 - 9th Neuro-Inspired Computational Elements Workshop, Mar 2022, New York (Virtual), United States. pp.13-21, ⟨10.1145/3517343.3517348⟩
Conference papers
lirmm-03586865v1
|
|
Simulations of Volatile Memristors Based on Vanadium Dioxide: Linking Material Properties to the Dynamics of Neuromorphic Circuits
Stefania Carapezzi
,
Gabriele Boschetto
,
Corentin Delacour
,
Aida Todri-Sanial
MRS 2022 Fall Meeting, Nov 2022, Boston, United States
Conference papers
hal-03762103v1
|
|
Exploring Supported Metal Nanoclusters on MoS2 for the Chemical Detection of Biomolecules in Health Monitoring Wearable Devices
Gabriele Boschetto
,
Stefania Carapezzi
,
Aida Todri-Sanial
MRS 2022 Spring Meeting & Exhibit, May 2022, Online Event, United States
Conference papers
lirmm-03593773v1
|
|
Effect of Physical Properties on Collective Behavior of Coupled Vanadium Dioxide Oscillators for Neuromorphic Computing Applications
Stefania Carapezzi
,
Aida Todri-Sanial
E-MRS 2022 - Spring Meeting of the European Materials Research Society, May 2022, Virtual Conference, France
Conference papers
hal-03670507v1
|
|
Modelling Defective MoS2 and Au Interfaces in 2D Memristors by Combining DFT with Green’s Function Surface Calculations
Gabriele Boschetto
,
Stefania Carapezzi
,
Aida Todri-Sanial
MRS 2022 Fall Meeting, Nov 2022, Boston, United States
Conference papers
lirmm-03761881v1
|
|
Ab Initio Simulations of Defective Metal Contacts in Beyond-CMOS Devices Based on Single-layer MoS2: Impact of Small and Extended Defects
Gabriele Boschetto
,
Stefania Carapezzi
,
Aida Todri-Sanial
Graphene 2022 - 12th European Conference in Graphene and 2D Materials, Jul 2022, Aachen, Germany
Conference papers
lirmm-03658118v1
|
|
On-Chip Learning with a 15-neuron Digital Oscillatory Neural Network Implemented on ZYNQ Processor
Madeleine Abernot
,
Thierry Gil
,
Aida Todri-Sanial
ICONS 2022 - International Conference on Neuromorphic Systems, Jul 2022, Knoxville, Tennessee (hybrid), United States. ⟨10.1145/3546790.3546822⟩
Conference papers
lirmm-03737597v1
|
|
Oscillatory Neural Network for Edge Computing: A Mobile Robot Obstacle Avoidance Application
Madeleine Abernot
,
Hamza Amara
,
Thierry Gil
,
Aida Todri-Sanial
MetroXRAINE 2022 - IEEE International Conference on Metrology for Extended Reality, Artificial Intelligence, and Neural Engineering, Oct 2022, Rome, Italy. pp.181-186, ⟨10.1109/MetroXRAINE54828.2022.9967581⟩
Conference papers
lirmm-03844104v1
|
|
Multi-programming Cross Platform Benchmarking for Quantum Computing Hardware
Siyuan Niu
,
Aida Todri-Sanial
Conference papers
lirmm-03690212v1
|
|
How Parallel Circuit Execution Can Be Useful for NISQ Computing?
Siyuan Niu
,
Aida Todri-Sanial
Conference papers
lirmm-03456555v1
|
|
VO2-based Oscillatory Ising Machine: The Role of External Temperature on Performance
Corentin Delacour
,
Stefania Carapezzi
,
Gabriele Boschetto
,
Madeleine Abernot
,
Thierry Gil
NANO 2022 - 22nd IEEE International Conference on Nanotechnology, Jul 2022, Palma de Mallorca, Spain. In press ?
Conference papers
lirmm-03725704v1
|
|
Oscillatory Neural Networks for Obstacle Avoidance on Mobile Surveillance Robot E4
Madeleine Abernot
,
Thierry Gil
,
Evgenii Kurylin
,
Tanguy Hardelin
,
Alexandre Magueresse
Conference papers
lirmm-03666874v1
|
|
Simulation Toolchain for Neuromorphic Oscillatory Neural Networks Based on Beyond-CMOS Vanadium Dioxide Devices
Stefania Carapezzi
,
Corentin Delacour
,
Aida Todri-Sanial
Conference papers
hal-03670565v1
|
|
First-Principles Simulations of Vacancies and Grain Boundaries in Monolayer MoS2-Au Interfaces for Unconventional Computing Paradigm
Gabriele Boschetto
,
Stefania Carapezzi
,
Corentin Delacour
,
Madeleine Abernot
,
Thierry Gil
E-MRS 2022 - Spring Meeting of the European Materials Research Society, May 2022, Online Event, France
Conference papers
lirmm-03658097v1
|
|
Electrothermal Simulations of Synchronization Dynamics of Coupled Beyond-CMOS Vanadium Dioxide Oscillators for Neuromorphic Computing Applications
Stefania Carapezzi
,
Corentin Delacour
,
Aida Todri-Sanial
MRS 2022 Spring Meeting & Exhibit, Materials Research Society, May 2022, Honolulu, United States
Conference papers
lirmm-03610810v1
|
|
Energy Efficient Neuromorphic Computing with Oscillatory Neural Networks
Aida Todri-Sanial
E-MRS 2021 - Fall Meeting of the European Materials Research Society, Dec 2021, Boston, MA, United States
Conference papers
lirmm-03363877v1
|
|
A Hardware-aware Heuristic for the Qubit Mapping Problem in the NISQ Era
Siyuan Niu
,
Adrien Suau
,
Gabriel Staffelbach
,
Aida Todri-Sanial
YQIS 2021 - 6th International Conference for Young Quantum Information Scientists, Apr 2021, Online, United States
Conference papers
lirmm-03197069v1
|
|
Beyond-CMOS vanadium dioxide devices and oscillators for brain-like information processing
Stefania Carapezzi
,
Gabriele Boschetto
,
Siegfried Karg
,
Aida Todri-Sanial
E-MRS 2021 - Fall Meeting of the European Materials Research Society, Materials Research Society, Dec 2021, Boston, MA, United States
Conference papers
lirmm-03270246v1
|
|
First-principles DFT simulations of MoS2 for the non-enzymatic detection of cortisol
Gabriele Boschetto
,
Stefania Carapezzi
,
Aida Todri-Sanial
E-MRS 2021 - Fall Meeting of the European Materials Research Society, Sep 2021, Online, Poland
Conference papers
lirmm-03363666v1
|
|
Investigating MoS2 as the Sensing Substrate for the Non-Enzymatic Detection of Cortisol via Quantum Mechanical DFT Simulations
Gabriele Boschetto
,
Stefania Carapezzi
,
Aida Todri-Sanial
JMJC 2021 - 9e Journées Méditerranéennes des Jeunes Chercheurs, Oct 2021, Montpellier, France
Conference papers
lirmm-03414261v1
|
|
Energy Efficient Neuromorphic Computing with beyond-CMOS Oscillatory Neural Networks
Corentin Delacour
,
Stefania Carapezzi
,
Gabriele Boschetto
,
Aida Todri-Sanial
ICONS 2021 - International Conference on Neuromorphic Systems, Jul 2021, Oak Ridge (Virtual), United States
Conference papers
lirmm-03229262v1
|
|
MoS2 as the Sensing Platform for the Non-Enzymatic Detection of Cortisol: A First-Principles Study
Gabriele Boschetto
,
Stefania Carapezzi
,
Aida Todri-Sanial
ImagineNano2021, Nov 2021, Bilbao, Spain
Conference papers
lirmm-03430916v1
|
|
Oscillatory Neural Networks for Edge AI Computing
Corentin Delacour
,
Stefania Carapezzi
,
Madeleine Abernot
,
Gabriele Boschetto
,
Nadine Azemard
Conference papers
lirmm-03229257v1
|
|
Multi-Scale Modeling and Simulation Flow for Oscillatory Neural Networks for Edge Computing
Stefania Carapezzi
,
Corentin Delacour
,
Gabriele Boschetto
,
Elisabetta Corti
,
Madeleine Abernot
Conference papers
lirmm-03197160v1
|
|
First Principles Simulations of MoS2 Towards the Non-Enzymatic Sensing of Cortisol
Gabriele Boschetto
,
Stefania Carapezzi
,
Aida Todri-Sanial
Graphene 2021 - 11th European Conference in Graphene and 2D Materials, Oct 2021, Grenoble, France
Conference papers
lirmm-03363969v1
|
|
Quantum Mechanical Simulations of 2D Materials for Unconventional Computing and Biosensing Applications
Gabriele Boschetto
,
Stefania Carapezzi
,
Aida Todri-Sanial
MC 2021 - 15th International Conference on Materials Chemistry, Jul 2021, Online Event, Ireland
Conference papers
lirmm-03220960v1
|
|
Energy Consumption and Electronic Devices: Why we need to re-think chip design for AI?
Aida Todri-Sanial
Venue Parcours Recherche Ingénieur (PRI), 2021, Montpellier, France
Conference papers
lirmm-03354075v1
|
|
Exploring multi-programming for quantum algorithms
Siyuan Niu
,
Aida Todri-Sanial
Quantum Computing (QC), 2021, online, France
Conference papers
lirmm-03227814v1
|
|
Frequency Injection Locking-Controlled Oscillations for Synchronized Operations in VO2 Crossbar Devices
Elisabetta Corti
,
Corentin Delacour
,
Aida Todri-Sanial
,
Siegfried Karg
Conference papers
lirmm-03197330v1
|
|
Beyond CMOS technologies for enabling integrating Artificial Intelligence at the Edge
Aida Todri-Sanial
,
Thierry Gil
,
Madeleine Abernot
,
Corentin Delacour
,
Stefania Carapezzi
EPoSS Annual Forum 2021, Oct 2021, Freiburg im Breisgau, Germany
Conference papers
lirmm-03354108v1
|
|
VO2 oscillators on Si platform for neuromorphic computing applications
Stefania Carapezzi
,
Elisabetta Corti
,
Gabriele Boschetto
,
Siegfried Karg
,
Aida Todri-Sanial
E-MRS 2021 - Fall Meeting of the European Materials Research Society, Sep 2021, Warsaw, Poland
Conference papers
lirmm-03270170v1
|
|
Beyond CMOS Devices for Low-Power Oscillatory Neural Networks for Edge AI Computing
Stefania Carapezzi
,
Gabriele Boschetto
,
Corentin Delacour
,
Madeleine Abernot
,
Thierry Gil
Albany Nanotechnology Symposium, 2021, New York, United States
Conference papers
lirmm-03365217v1
|
|
Analyzing crosstalk error in the NISQ era
Siyuan Niu
,
Aida Todri-Sanial
Conference papers
lirmm-03246688v1
|
|
Dedicated Wearable Sensitive Strain Sensor, based on Carbon Nanotubes, for Monitoring the Rat Respiration Rate
Tieying Xu
,
Mohamad Yehya
,
Abhishek Singh
,
Thierry Gil
,
Patrice Bideaux
ECSA 2021 - 8th International Electronic Conference on Sensors and Applications, Nov 2021, Online, France. pp.27-34, ⟨10.3390/ecsa-8-11293⟩
Conference papers
lirmm-03441142v1
|
|
Exploring 1D and 2D Nanomaterials for Health Monitoring Wearable Devices
Gabriele Boschetto
,
Tieying Xu
,
Mohamad Yehya
,
Jérôme Thireau
,
Alain Lacampagne
FLEPS 2021 - IEEE International Conference on Flexible and Printable Sensors and Systems, Jun 2021, Virtual, United Kingdom. pp.1-4, ⟨10.1109/FLEPS51544.2021.9469864⟩
Conference papers
lirmm-03363641v1
|
|
2D Nanomaterials for Advancing Neuromorphic Computing
Aida Todri-Sanial
2DMAT 2021 - Global Summit and Expo on Graphene and 2D Materials, Aug 2021, Paris, France. pp.106
Conference papers
lirmm-03354059v1
|
|
Quantum Challenges: Hardware and Software Perspectives
Aida Todri-Sanial
FRANCE IS AI, 2020, Online, France
Conference papers
lirmm-03024235v1
|
|
Quantum Initiative at the University of Montpellier
Aida Todri-Sanial
French Tech London Quantum Webinar, Jun 2020, London, United Kingdom
Conference papers
lirmm-03025169v1
|
|
Stretchable Strain Sensors for Human Movement Monitoring
Abhishek Singh Dahiya
,
Thierry Gil
,
Nadine Azemard
,
Jérôme Thireau
,
Alain Lacampagne
Conference papers
hal-02903236v1
|
|
Neuromorphic Computing based on Oscillatory Neural Networks
Aida Todri-Sanial
SOPHI.A SUMMIT, Nov 2020, Sophia Antipolis, France
Conference papers
lirmm-03022129v1
|
|
Progress and Challenges on Quantum Computer-Aided Design
Aida Todri-Sanial
IBM Quantum Summit, Sep 2020, Virtual, France
Conference papers
lirmm-03025303v1
|
|
NeurONN: Neuromorphic Computing with Oscillatory Neural Networks
Aida Todri-Sanial
Phase-Change Switch Workshop, 2020, Virtual, France
Conference papers
lirmm-03098863v1
|
|
NeurONN: Neuromorphic Computing for Artificial Intelligence at the Edge
Stefania Carapezzi
,
Madeleine Abernot
,
Corentin Delacour
,
Nadine Azemard
,
Jérémie Salles
3rd AI Compute Symposium (IBM IEEE CAS/EDS), Oct 2020, Zurich (virtual), Switzerland
Conference papers
lirmm-03009213v1
|
|
Using Oscillatory Neural Network for Pattern Recognition and Mobile Robot Control
Madeleine Abernot
,
Thierry Gil
,
Aida Todri-Sanial
SOPHI.A SUMMIT, Nov 2020, Sophia Antipolis, France
Conference papers
lirmm-03023088v1
|
|
Toward New Era of Computing: From Devices to Applications
Aida Todri-Sanial
What’s next in Computing ?, Jul 2020, Montpellier (virtual), France
Conference papers
lirmm-03025126v1
|
|
Quantum Computing: Pushing the limits of computing
Aida Todri-Sanial
IBM Think Digital Summit France, Oct 2020, Virtual, France
Conference papers
lirmm-03025260v1
|
|
A Look Into Physical Modeling and Design for Carbon Nanotube based Circuits
Aida Todri-Sanial
CASS 2020 - 10th IEEE CASS Rio Grande do Sul Workshop, Nov 2020, Virtual, Brazil
Conference papers
lirmm-03025221v1
|
|
Design and Technology-level Optimization Challenges for Carbon Nanotube Circuits
Aida Todri-Sanial
EDA 2020 - International Workshop on Advanced Electronic Design Automation, Jan 2020, Xidian, China
Conference papers
lirmm-02549406v1
|
|
EU H2020 NEURONN: Two-Dimensional Oscillatory Neural Networks for Energy Efficient Neuromorphic Computing
Aida Todri-Sanial
,
Stefania Carapezzi
,
Corentin Delacour
,
Madeleine Abernot
,
Eirini Karachristou
EFECS 2020 - European Forum for Electronic Components and Systems, Nov 2020, Brussels, Belgium
Conference papers
lirmm-03024126v1
|
|
An Emerging Trend in Post Moore Era: Monolithic 3D IC Technology
Aida Todri-Sanial
ASP-DAC 2020 - 25th Asia and South Pacific Design Automation Conference, Jan 2020, Beijing, China
Conference papers
lirmm-02487849v1
|
|
Quantum Computing - Collaborative Initiative between University of Montpellier and IBM Montpellier
Aida Todri-Sanial
Conference papers
lirmm-02387996v1
|
|
Physical design and optimisation methods for TSV-based 3D and monolithic 3D integration
Aida Todri-Sanial
ESSDERC-ESSCIRC 2019 - 15th Workshop on Heterogeneous Integration of Nanomaterials and Innovative Devices, Sep 2019, Krakow, Poland. pp.217-220
Conference papers
lirmm-02387999v1
|
|
Importance of Interconnects: A Technology-System-Level Design Perspective
Jie Liang
,
Aida Todri-Sanial
Conference papers
lirmm-02388007v1
|
|
Reliable Power Delivery and Analysis of Power-Supply Noise During Testing in Monolithic 3D ICs
Abhishek Koneru
,
Aida Todri-Sanial
,
Krishnendu Chakrabarty
Conference papers
lirmm-02131987v1
|
|
SmartVista: Smart Autonomous Multi Modal Sensors for Vital Signs Monitoring
Kafil M. Razeeb
,
Cian O'Murchu
,
Aida Todri-Sanial
,
Frederik Sebelius
,
Indranil Bose
Smart System Integration - 13th International Conference and Exhibition on Integration Issues of Miniaturized Systems, Apr 2019, Barcelona, Spain
Conference papers
lirmm-02132005v1
|
|
Heterogeneous Integration Roadmap (HIR): Co-Design Challenges and Perspectives
Aida Todri-Sanial
Conference papers
lirmm-02403741v1
|
|
Challenges and Progress on Carbon Nanotube Integration for BEOL Interconnects
Benjamin Uhlig
,
Abitha Dhavamani
,
Nicole Nagy
,
Katharina Lilienthal
,
R. Liske
Conference papers
lirmm-01880138v1
|
|
Progress on carbon nanotube BEOL interconnects
Benjamin Uhlig
,
Jie Liang
,
Lee Jaehyun
,
Raphael Ramos
,
Abitha Dhavamani
DATE 2018 - 21st Design, Automation and Test in Europe Conference and Exhibition, Mar 2018, Dresden, Germany. pp.937-942, ⟨10.23919/DATE.2018.8342144⟩
Conference papers
lirmm-01880198v1
|
|
A Robust Dual Reference Computing-in-Memory Implementation and Design Space Exploration Within STT-MRAM
Liuyang Zhang
,
Wang Kang
,
Hao Cai
,
Peng Ouyang
,
Lionel Torres
ISVLSI 2018 - International Symposium on Very Large Scale Integration, Jul 2018, Hong Kong, China. pp.275-280, ⟨10.1109/ISVLSI.2018.00058⟩
Conference papers
lirmm-01880184v1
|
|
Chameleon: A Thermally Adaptive Error Correction Code Design for STT-MRAM LLCs
Yuanqing Cheng
,
Dijun Liu
,
Aida Todri-Sanial
,
Ying Wang
,
Bi Wu
Design Automation Conference (DAC 2018), Jun 2018, San Fransisco, United States
Conference papers
lirmm-01880209v1
|
|
Power-Supply Noise Analysis for Monolithic 3D ICs Using Electrical and Thermal Co-Simulation
Abhishek Koneru
,
Aida Todri-Sanial
,
Krishnendu Chakrabarty
25th IEEE International Conference on Electronics Circuits and Systems (ICECS 2018), Dec 2018, Bordeaux, France. pp.217-220, ⟨10.1109/ICECS.2018.8617951⟩
Conference papers
lirmm-01880158v1
|
|
Electrical performance of carbon-based power distribution networks with thermal effects
Alessandro Magnani
,
Massimiliano de Magistris
,
Salomeh Heidari
,
Aida Todri-Sanial
,
Antonio Maffucci
Conference papers
lirmm-01795816v1
|
|
Synchronised 4-Phase Resonant Power Clock Supply for Energy Efficient Adiabatic Logic
Nicolas Jeanniot
,
Gaël Pillonnet
,
Pascal Nouet
,
Nadine Azemard
,
Aida Todri-Sanial
Conference papers
lirmm-01768831v1
|
|
A physics-based investigation of Pt-salt doped carbon nanotubes for local interconnects
Jie Liang
,
Raphael Ramos
,
Jean Dijon
,
H. Okuno
,
D. Kalita
Conference papers
lirmm-01795777v1
|
|
Toward Carbon Nanotube Computing
Aida Todri-Sanial
Emerging Technology, May 2017, Varsovie, Poland
Conference papers
lirmm-01457269v1
|
|
Atoms-to-circuits simulation investigation of CNT interconnects for next generation CMOS technology
Jaehyun Lee
,
Jie Liang
,
Salvatore Amoroso
,
Toufik Sadi
,
Liping Wang
Conference papers
lirmm-01795803v1
|
|
Atomistic to circuit level modeling of defective doped SWCNTs with contacts for on-chip interconnect application
Jie Liang
,
Lee Jaehyun
,
Salim Berrada
,
Vihar P. Georgiev
,
Asenov Asen
Conference papers
lirmm-01880220v1
|
|
Power and Performance Analysis of Doped SW/DW CNT for On-Chip Interconnect Application
Aida Todri-Sanial
,
Jie Liang
GRAPHENE, Mar 2017, Barcelone, Spain
Conference papers
lirmm-01800286v1
|
|
A hierarchical model for CNT and Cu-CNT composite interconnects: from density functional theory to circuit-level simulations
Lee Jaehyun
,
Sadi Toufik
,
Jie Liang
,
Vihar Petkov Georgiev
,
Aida Todri-Sanial
IWCN: International Workshop on Computational Nanotechnology, Jun 2017, Windermere, United Kingdom
Conference papers
lirmm-01800290v1
|
|
The impact of vacancy defects on CNT interconnects: From statistical atomistic study to circuit simulations
Jaehyun Lee
,
Salim Berrada
,
Jie Liang
,
Toufik Sadi
,
Vihar Georgiev
Conference papers
lirmm-01795799v1
|
|
Modeling and Simulation of Carbon Nanotube Interconnects
Aida Todri-Sanial
SISPAD: Simulation of Semiconductor Processes and Devices, Sep 2016, Nuremberg, Germany
Conference papers
lirmm-01457260v1
|
|
A clustering technique for fast electrothermal analysis of on-chip power distribution networks
Alessandro Magnani
,
Massimiliano De Magistris
,
Antonio Maffucci
,
Aida Todri-Sanial
Conference papers
lirmm-01446283v1
|
|
Quantitative evaluation of reliability and performance for STT-MRAM
Liuyang Zhang
,
Aida Todri-Sanial
,
Wang Kang
,
Youguang Zhang
,
Lionel Torres
Conference papers
lirmm-01446275v1
|
|
Reliability and performance evaluation for STT-MRAM under temperature variation
Liuyang Zhang
,
Yuanqing Cheng
,
Wang Kang
,
Youguang Zhang
,
Lionel Torres
EuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2016, Montpellier, France. ⟨10.1109/EuroSimE.2016.7463380⟩
Conference papers
lirmm-01446252v1
|
|
Investigation of electrical and thermal properties of carbon nanotube interconnects
Aida Todri-Sanial
Conference papers
lirmm-01457289v1
|
|
Electrothermal Modeling and Analysis of Carbon Nanotube Interconnects
Aida Todri-Sanial
PATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2016, Brême, Germany
Conference papers
lirmm-01457256v1
|
|
Investigation of the power-clock network impact on adiabatic logic
Nicolas Jeanniot
,
Aida Todri-Sanial
,
Pascal Nouet
,
Gaël Pillonnet
,
Hervé Fanet
Conference papers
hal-01348476v1
|
|
Present and future prospects of carbon nanotube interconnects for energy efficient integrated circuits
Aida Todri-Sanial
,
Alessandro Magnani
,
Massimiliano De Magistris
,
Antonio Maffucci
EuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2016, Montpellier, France. ⟨10.1109/EuroSimE.2016.7463379⟩
Conference papers
lirmm-01446241v1
|
|
Physical description and analysis of doped carbon nanotube interconnects
Jie Liang
,
Liuyang Zhang
,
Nadine Azemard
,
Pascal Nouet
,
Aida Todri-Sanial
Conference papers
lirmm-01457338v1
|
|
An architecture-level cache simulation framework supporting advanced PMA STT-MRAM
Bi Wu
,
Yuanqing Cheng
,
Ying Wang
,
Aida Todri-Sanial
,
Guangyu Sun
Conference papers
lirmm-01248586v1
|
|
A body-biasing of readout circuit for STT-RAM with improved thermal reliability
Lun Yang
,
Yuanqing Cheng
,
Yuhao Wang
,
Hao Yu
,
Weisheng Zhao
Conference papers
lirmm-01720592v1
|
|
Carbon-based Power Delivery Networks for nanoscale ICs: electrothermal performance analysis
Alessandro Magnani
,
Massimiliano De Magistris
,
Aida Todri-Sanial
,
Antonio Maffucci
Conference papers
lirmm-01446739v1
|
|
On Analysis of On-chip DC-DC Converters for Power Delivery Networks
Ghizlane Mouslih
,
Aida Todri-Sanial
,
Pascal Nouet
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.557-560, ⟨10.1109/ISVLSI.2015.96⟩
Conference papers
lirmm-01446182v1
|
|
Carbon nanotube interconnects for energy-efficient integrated circuits
Aida Todri-Sanial
TNT: Trends in Nanotechnology, Sep 2015, Toulouse, France
Conference papers
lirmm-01446233v1
|
|
On the Performance Exploration of 3D NoCs with Resistive-Open TSVs
Charles Emmanuel Effiong
,
Vianney Lapotre
,
Abdoulaye Gamatié
,
Gilles Sassatelli
,
Aida Todri-Sanial
ISVLSI 2015 - International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.579-584, ⟨10.1109/ISVLSI.2015.49⟩
Conference papers
lirmm-01248588v1
|
|
A node clustering reduction scheme for power grids electrothermal analysis
Alessandro Magnani
,
M. de Magistris
,
Antonio Maffucci
,
Aida Todri-Sanial
Conference papers
lirmm-01248589v1
|
|
Statistical Energy Study for 28nm FDSOI Devices
Rida Kheirallah
,
Jean-Marc J.-M. Galliere
,
Aida Todri-Sanial
,
Gilles R. Ducharme
,
Nadine Azemard
EuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2015, Budapest, Hungary. ⟨10.1109/EuroSimE.2015.7103149⟩
Conference papers
lirmm-01168602v1
|
|
Exploring potentials of perpendicular magnetic anisotropy STT-MRAM for cache design
Xiaolong Zhang
,
Yuanqing Cheng
,
Weisheng Zhao
,
Youguang Zhang
,
Aida Todri-Sanial
Conference papers
lirmm-01248593v1
|
|
Path delay test in the presence of multi-aggressor crosstalk, power supply noise and ground bounce
Anu Asokan
,
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
Conference papers
lirmm-01248599v1
|
|
Test and diagnosis of power switches
Miroslav Valka
,
Alberto Bosio
,
Luigi Dilillo
,
Aida Todri-Sanial
,
Arnaud Virazel
Conference papers
lirmm-01248590v1
|
|
A Delay Probability Metric for Input Pattern Ranking Under Process Variation and Supply Noise
Anu Asokan
,
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2014, Tampa, FL, United States. pp.226-231, ⟨10.1109/ISVLSI.2014.42⟩
Conference papers
lirmm-01248592v1
|
|
Timing-aware ATPG for critical paths with multiple TSVs
Carolina Momo Metzler
,
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
Conference papers
lirmm-01248600v1
|
|
An intra-cell defect grading tool
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
,
Stefano Bernabovi
Conference papers
lirmm-01248591v1
|
|
Electro-thermal characterization of Through-Silicon Vias
Aida Todri-Sanial
Conference papers
lirmm-01973585v1
|
|
TSV aware timing analysis and diagnosis in paths with multiple TSVs
Carolina Momo Metzler
,
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
Conference papers
lirmm-01248594v1
|
|
Performance exploration of partially connected 3D NoCs under manufacturing variability
Anelise Kologeski
,
Fernanda Lima Kastensmidt
,
Vianney Lapotre
,
Abdoulaye Gamatié
,
Gilles Sassatelli
NEWCAS 2014 - 12th IEEE International New Circuits and Systems Conference, Jun 2014, Trois-Rivieres, QC, Canada. pp.61-64, ⟨10.1109/NEWCAS.2014.6933985⟩
Conference papers
lirmm-01248595v1
|
|
A Comprehensive Evaluation of Functional Programs for Power-Aware Test
Aymen Touati
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
NATW: North Atlantic Test Workshop, May 2014, Johnson City, NY, United States. pp.69-72, ⟨10.1109/NATW.2014.23⟩
Conference papers
lirmm-01248597v1
|
|
Protecting combinational logic in pipelined microprocessor cores against transient and permanent faults
Imran Wali
,
Arnaud Virazel
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
Conference papers
lirmm-01248598v1
|
|
Power supply noise-aware workload assignments for homogeneous 3D MPSoCs with thermal consideration
Yuanqing Cheng
,
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
Conference papers
lirmm-01248596v1
|
|
iBoX — Jitter based Power Supply Noise sensor
Miroslav Valka
,
Alberto Bosio
,
Luigi Dilillo
,
Aida Todri-Sanial
,
Arnaud Virazel
Conference papers
lirmm-01248601v1
|
|
Real-Time Testing of 90nm COTS SRAMs at Concordia Station in Antarctica
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Serge Pravossoudovitch
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2014, Paris, France
Conference papers
lirmm-01237709v1
|
|
Efficient Dynamic Test Methods for COTS SRAMs Under Heavy Ion Irradiation
Georgios Tsiligiannis
,
Luigi Dilillo
,
Viyas Gupta
,
Alberto Bosio
,
Patrick Girard
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2014, Paris, France
Conference papers
lirmm-01237660v1
|
|
Investigation of horizontally aligned carbon nanotubes for efficient power delivery in 3D ICs
Aida Todri-Sanial
Conference papers
lirmm-01973590v1
|
|
Characterization of an SRAM Based Particle Detector For Mixed-Field Radiation Environments
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Serge Pravossoudovitch
Conference papers
lirmm-00839046v1
|
|
Analyzing resistive-open defects in SRAM core-cell under the effect of process variability
Elena Ioana Vatajelu
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
Conference papers
lirmm-01921630v1
|
|
Performance Characterization of TAS-MRAM Architectures in Presence of Capacitive Defects
João Azevedo
,
Arnaud Virazel
,
Yuanqing Cheng
,
Alberto Bosio
,
Luigi Dilillo
VALID: Advances in System Testing and Validation Lifecycle, Oct 2013, Venice, Italy. pp.39-44
Conference papers
lirmm-01433308v1
|
|
On the Reuse of Read and Write Assist Circuits to Improve Test Efficiency in Low-Power SRAMs
Leonardo B. Zordan
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
Conference papers
lirmm-00818977v1
|
|
Computing Detection Probability of Delay Defects in Signal Line TSVs
Carolina Momo Metzler
,
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
Conference papers
lirmm-00839044v1
|
|
A Built-in Scheme for Testing and Repairing Voltage Regulators of Low-Power SRAMs
Leonardo B. Zordan
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
Conference papers
lirmm-00805366v1
|
|
Test Solution for Data Retention Faults in Low-Power SRAMs
Leonardo B. Zordan
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
DATE 2013 - 16th Design, Automation and Test in Europe Conference, Mar 2013, Grenoble, France. pp.442-447, ⟨10.7873/DATE.2013.099⟩
Conference papers
lirmm-00805140v1
|
|
A novel method to mitigate TSV electromigration for 3D ICs
Yuanqing Cheng
,
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
Conference papers
lirmm-01248617v1
|
|
On the correlation between Static Noise Margin and Soft Error Rate evaluated for a 40nm SRAM cell
Elena Ioana Vatajelu
,
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
DFT: Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2013, New York, United States. pp.143-148, ⟨10.1109/DFT.2013.6653597⟩
Conference papers
lirmm-01238413v1
|
|
SRAM Soft Error Rate Evaluation Under Atmospheric Neutron Radiation and PVT variations
Georgios Tsiligiannis
,
Elena Ioana Vatajelu
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
Conference papers
lirmm-00818955v1
|
|
Adaptive Source Bias for Improved Resistive-Open Defect Coverage during SRAM Testing
Elena Ioana Vatajelu
,
Alberto Bosio
,
Patrick Girard
,
Aida Todri-Sanial
,
Arnaud Virazel
Conference papers
lirmm-01248609v1
|
|
Improving Defect Localization Accuracy by means of Effect-Cause Intra-Cell Diagnosis at Transistor Level
Zhenzhou Sun
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
SDD: Silicon Debug and Diagnosis, Sep 2013, Anaheim, CA, United States
Conference papers
lirmm-00806872v1
|
|
SEU Monitoring in Mixed-Field Radiation Environments of Particle Accelerators
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Serge Pravossoudovitch
Conference papers
lirmm-00839085v1
|
|
Worst-Case Power Supply Noise and Temperature Distribution Analysis for 3D PDNs with Multiple Clock Domains
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Serge Pravossoudovitch
Conference papers
lirmm-00839042v1
|
|
Analyzing the effect of concurrent variability in the core cells and sense amplifiers on SRAM read access failures
Elena Ioana Vatajelu
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Mar 2013, Abu Dhabi, United Arab Emirates. pp.39-44, ⟨10.1109/DTIS.2013.6527775⟩
Conference papers
lirmm-01248603v1
|
|
Temperature Impact on the Neutron SER of a Commercial 90nm SRAM
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Serge Pravossoudovitch
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2013, San Francisco, Ca, United States. pp.1-4
Conference papers
lirmm-00805291v1
|
|
Fast and Accurate Electro-Thermal Analysis of Three-Dimensional Power Delivery Networks
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Arnaud Virazel
EuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2013, Wroclaw, Poland. pp.1-4, ⟨10.1109/EuroSimE.2013.6529956⟩
Conference papers
lirmm-00839043v1
|
|
Effect-Cause Intra-Cell Diagnosis at Transistor Level
Zhenzhou Sun
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
ISQED 2013 - 14th International Symposium on Quality Electronic Design, Mar 2013, Santa Clara, CA, United States. pp.460-467, ⟨10.1109/ISQED.2013.6523652⟩
Conference papers
lirmm-00817224v1
|
|
Multiple-Cell-Upsets on a commercial 90nm SRAM in Dynamic Mode
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Serge Pravossoudovitch
Conference papers
lirmm-00839062v1
|
|
Frequency domain power and thermal integrity analysis of 3D power delivery networks
Aida Todri-Sanial
Conference papers
lirmm-01973645v1
|
|
Mitigate TSV Electromigration for 3D ICs - From the Architecture Perspective
Yuanqing Cheng
,
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
International Symposium on VLSI, Natale, Brazil. pp.6
Conference papers
lirmm-00839052v1
|
|
Evaluating An SEU Monitor For Mixed-Field Radiation Environments
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Serge Pravossoudovitch
iWoRID: International Workshop on Radiation Imaging Detectors, SOLEIL Synchrotron, Jun 2013, Paris, France
Conference papers
lirmm-01238433v1
|
|
A Novel Framework for Evaluating the SRAM Core-Cell Sensitivity to Neutrons
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Aida Todri-Sanial
RADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2012, Biarritz, France. pp.1-4
Conference papers
lirmm-00805163v1
|
|
Resistive-Open Defects Affecting Bit-Line Selection in TAS-MRAM Architectures
João Azevedo
,
Arnaud Virazel
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
JNRDM: Journées Nationales du Réseau Doctoral en Microélectronique, 2012, Paris, France
Conference papers
lirmm-00806827v1
|
|
Power Supply Noise Sensor Based on Timing Uncertainty Measurements
Miroslav Valka
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
Conference papers
lirmm-00806890v1
|
|
SRAM testing under Neutron Radiation for the evaluation of different algorithms stress
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Aida Todri-Sanial
15ème Journées Nationales du Réseau Doctoral en Microélectronique, Jun 2012, Marseille, France
Conference papers
lirmm-00807054v1
|
|
Low-power SRAMs Power Mode Control Logic: Failure Analysis and Test Solutions
Leonardo B. Zordan
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
Conference papers
lirmm-00805143v1
|
|
Impacts of Resistive-Open Defects in the Word-Line Selection of TAS-MRAMs
João Azevedo
,
Arnaud Virazel
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
Colloque GDR SoC-SiP, 2012, Paris, France
Conference papers
lirmm-00806842v1
|
|
Through-Silicon-Via Resistive-Open Defect Analysis
Carolina Momo Metzler
,
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
Conference papers
lirmm-00806848v1
|
|
Why and How Controlling Power Consumption During Test: A Survey
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
,
Arnaud Virazel
Conference papers
lirmm-00818984v1
|
|
Complete Framework for the Estimation of the SRAM Core-Cell Resilience to Radiation
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Aida Todri-Sanial
RADECS: Radiation and its Effects on Components and Systems, Sep 2012, Biarritz, France
Conference papers
hal-01935785v1
|
|
Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures
João Azevedo
,
Arnaud Virazel
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
DATE 2012 - 15th Design, Automation and Test in Europe Conference and Exhibition, Mar 2012, Dresden, Germany. pp.532-537, ⟨10.1109/DATE.2012.6176526⟩
Conference papers
lirmm-00689024v1
|
|
Fault Localization Improvement through an Intra-Cell Diagnosis Approach
Zhenzhou Sun
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
ISTFA 2012 - 38th International Symposium for Testing and Failure Analysis, Nov 2012, Phoenix, AZ, United States. pp.509-519
Conference papers
lirmm-00806863v1
|
|
Defect Localization Through an Effect-Cause based Intra-Cell Diagnosis
Zhenzhou Sun
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
Colloque GDR SoC-SiP, 2012, Paris, France
Conference papers
lirmm-00806841v1
|
|
Dynamic Mode Test of a Commercial 4Mb Toggle MRAM under Neutron Radiation
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Aida Todri-Sanial
RADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2012, Biarritz, France. pp.1-4
Conference papers
lirmm-00805165v1
|
|
Radiation Induced Effects on Electronic Systems and ICs
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Serge Pravossoudovitch
SETS: South European Test Seminar, Mar 2012, Sauze d'Oulx, Italy
Conference papers
lirmm-00807055v1
|
|
Electro-Thermal Analysis of 3D Power Delivery Networks
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Arnaud Virazel
DAC: Design Automation Conference, 2012, San Francisco, United States
Conference papers
lirmm-00806836v1
|
|
Adaptive Voltage Scaling via Effective On-Chip Timing Uncertainty Measurements
Miroslav Valka
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
Colloque GDR SoC-SiP, 2012, Paris, France
Conference papers
lirmm-00806859v1
|
|
Evaluation of Test Algorithms Stress Effect on SRAMs under Neutron Radiation
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Aida Todri-Sanial
Conference papers
lirmm-00805373v1
|
|
Resistive-Open Defect Analysis for Through-Silicon-Vias
Carolina Momo Metzler
,
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France
Conference papers
lirmm-00806803v1
|
|
Dynamic Mode Testing of SRAMS under Neutron Radiation
Georgios Tsiligiannis
,
Luigi Dilillo
,
Alberto Bosio
,
Patrick Girard
,
Aida Todri-Sanial
Sixième colloque du GDR SOC-SIP du CNRS, Jun 2012, Paris, France
Conference papers
lirmm-00807053v1
|
|
Defect Analysis in Power Mode Control Logic of Low-Power SRAMs
Leonardo B. Zordan
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
Conference papers
lirmm-00805374v1
|
|
Impact of Resistive-Bridge Defects in TAS-MRAM Architectures
João Azevedo
,
Arnaud Virazel
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
Conference papers
lirmm-00806809v1
|
|
A Pseudo-Dynamic Comparator for Error Detection in Fault Tolerant Architectures
Ahn Duc Tran
,
Arnaud Virazel
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
Conference papers
lirmm-00806778v1
|
|
Failure Analysis and Test Solutions for Low-Power SRAMs
Leonardo B. Zordan
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Serge Pravossoudovitch
Conference papers
lirmm-00805123v1
|
|
Simultaneous Power and Thermal Integrity Analysis for 3D Integrated Systems
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Serge Pravossoudovitch
LPonTR'11: IEEE International Workshop on the Impact of Low Power on Test and Reliability, Trondheim, Norway
Conference papers
lirmm-00651802v1
|
|
Analysis of Resistive-Open Defects in TAS-MRAM Array
João Azevedo
,
Arnaud Virazel
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
ITC: International Test Conference, Sep 2011, Anaheim, CA, United States
Conference papers
lirmm-00679524v1
|
|
Power Supply Noise and Ground Bounce Aware Pattern Generation for Delay Testing
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Serge Pravossoudovitch
Conference papers
lirmm-00647815v1
|
|
A Study of Path Delay Variations in the Presence of Uncorrelated Power and Ground Supply Noise
Aida Todri-Sanial
,
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Serge Pravossoudovitch
Conference papers
lirmm-00592000v1
|
|
Power-Aware Test Pattern Generation for At-Speed LOS Testing
Alberto Bosio
,
Luigi Dilillo
,
Patrick Girard
,
Aida Todri-Sanial
,
Arnaud Virazel
ATS: Asian Test Symposium, Nov 2011, New Delhi, India. pp.506-510
Conference papers
lirmm-00651917v1
|
|
Performance studies of CMS Pixel Tracker using DC-DC conversion powering scheme
Aida Todri-Sanial
,
Ryan Rivera
,
Simon Kwan
,
Lalith Perera
Conference papers
lirmm-01973723v1
|
|
The Fast Track real time processor and its impact on muon isolation, tau and b-jet online selections at ATLAS
T. Liu
,
A. Mccarn
,
C. Melachrinos
,
C. Meroni
,
A. Negri
Conference papers
lirmm-01248627v1
|
|
A study of decoupling capacitor effectiveness in power and ground grid networks
|