Accéder directement au contenu

Emilie Despiau-Pujo

103
Documents

Publications

Impact of plasma operating conditions on the ion energy and angular distributions in dual-frequency capacitively coupled plasma reactors using CF4 chemistry

Pierre Ducluzaux , Delia Ristoiu , Gilles Cunge , Emilie Despiau-Pujo
Journal of Vacuum Science & Technology A, 2024, 42 (1), ⟨10.1116/6.0003291⟩
Article dans une revue hal-04509400v1

Impact of plasma operating conditions on the ion energy and angular distributions in dual-frequency capacitively coupled plasma reactors using CF4 chemistry

Pierre Ducluzaux , Delia Ristoiu , Gilles Cunge , Emilie Despiau-Pujo
Journal of Vacuum Science & Technology A, 2024, 42 (1), ⟨10.1116/6.0003291⟩
Article dans une revue hal-04509913v1

Rethinking surface reactions in nanoscale dry processes toward atomic precision and beyond: a physics and chemistry perspective

Kenji Ishikawa , Tatsuo Ishijima , Tatsuru Shirafuji , Silvia Armini , Emilie Despiau-Pujo
Japanese Journal of Applied Physics, 2019, 58 (SE), pp.SE0801. ⟨10.7567/1347-4065/ab163e⟩
Article dans une revue hal-02337524v1

Modification mechanisms of silicon thin films in low-temperature hydrogen plasmas

V. Martirosyan , O. Joubert , E. Despiau-Pujo
Journal of Physics D: Applied Physics, 2018, 52 (055204), ⟨10.1088/1361-6463/aaefe0⟩
Article dans une revue hal-01954910v1

Helium plasma modification of Si and Si 3 N 4 thin films for advanced etch processes

Vahagn Martirosyan , Emilie Despiau-Pujo , Jérôme Dubois , Gilles Cunge , Olivier Joubert
Journal of Vacuum Science & Technology A, 2018, 36 (4), pp.041301. ⟨10.1116/1.5025152⟩
Article dans une revue hal-01947862v1

H + ion-induced damage and etching of multilayer graphene in H 2 plasmas

A. Davydova , E. Despiau-Pujo , G. Cunge , D. Graves
Journal of Applied Physics, 2017, 121 (13), ⟨10.1063/1.4979023⟩
Article dans une revue hal-01891243v1

Roughness generation during Si etching in Cl 2 pulsed plasma

Odile Mourey , Camille Petit-Etienne , Gilles Cunge , Maxime Darnon , Emilie Despiau-Pujo
Journal of Vacuum Science & Technology A, 2016, 34 (4), ⟨10.1116/1.4951694⟩
Article dans une revue hal-01881982v1
Image document

Cleaning graphene: A first quantum/classical molecular dynamics approach

L. Delfour , A. Davydova , E. Despiau-Pujo , G. Cunge , D. Graves
Journal of Applied Physics, 2016, 119 (12), pp.125309. ⟨10.1063/1.4945034⟩
Article dans une revue hal-01881977v1
Image document

Measuring ion velocity distribution functions through high-aspect ratio holes in inductively coupled plasmas

G. Cunge , Maxime Darnon , J Dubois , P. Bézard , O Mourey
Applied Physics Letters, 2016, 108, pp.93109 - 32108. ⟨10.1063/1.4942892⟩
Article dans une revue hal-01865123v1

Hydrogen plasmas processing of graphene surfaces

E. Despiau-Pujo , A. Davydova , G. Cunge , D.B. Graves
Plasma Chemistry and Plasma Processing, 2015, 36 (1), pp.213-229. ⟨10.1007/s11090-015-9683-0⟩
Article dans une revue hal-01878016v1

Key plasma parameters for nanometric precision etching of Si films in chlorine discharges

P. Brichon , E. Despiau-Pujo , O. Mourey , O. Joubert
Journal of Physics D: Applied Physics, 2015, pp.118, 053303
Article dans une revue hal-01878054v1

MD simulations of low energy Clx+ ions interaction with ultrathin silicon layers for advanced etch processes

P. Brichon , E. Despiau-Pujo , O. Joubert
Journal of Vacuum Science and Technology, 2014, A32, pp.021301
Article dans une revue hal-00904425v1

Pulsed Cl2/Ar inductively coupled plasma processing: 0D model versus experiments

Emilie Despiau-Pujo , Melisa Brihoum , Paul Bodart , M Darnon , Gilles Cunge
Journal of Physics D: Applied Physics, 2014, 47 (45), pp.16276 - 16282. ⟨10.1088/0022-3727/47/45/455201⟩
Article dans une revue hal-01798618v1

Elementary processes of H2 plasma-graphene interaction: A combined molecular dynamics and density functional theory study

E. Despiau-Pujo , A. Davydova , G. Cunge , Laure Delfour , Laurence Magaud
Journal of Applied Physics, 2013, 113 (11), pp.114302. ⟨10.1063/1.4794375⟩
Article dans une revue hal-00992709v1

2D fluid simulations of acoustic waves in pulsed ICP discharges: Comparison with experiments

Emilie Despiau-Pujo , Gilles Cunge , Nader Sadeghi , N. St. J. Braithwaite
American Physical Society, 2012, pp.2003
Article dans une revue hal-01006016v1

Simulations of radical and ion fluxes on wafer in a Cl2/Ar ICP discharge : Confrontation with GaAs and GaN etch experiments

Emilie Despiau-Pujo , Pascal Chabert , S. Bansropun , D. Thenot , P. Plouhinec
Journal of Vacuum Science and Technology, 2010, 28 (4), pp.693. ⟨10.1116/1.3437492⟩
Article dans une revue hal-01549482v1

Low energy Ar+ bombardment of GaN surfaces : A statistical study of ion reflection and sputtering

Emilie Despiau-Pujo , Pascal Chabert
Journal of Vacuum Science & Technology A, 2010, 28 (5), pp.1263. ⟨10.1116/1.3480344⟩
Article dans une revue hal-01549491v1

MD simulations of GaN sputtering by Ar+ ions : Ion-induced damage and near-surface modification under continuous bombardment

Emilie Despiau-Pujo , Pascal Chabert
Journal of Vacuum Science & Technology A, 2010, 28 (5), pp.1105. ⟨10.1116/1.3460904⟩
Article dans une revue hal-01549488v1

Velocity distribution function of sputtered Ga atoms during inductively-coupled Ar plasma treatment of a GaAs surface

Emilie Despiau-Pujo , Pascal Chabert , R. Ramos , G. Cunge , N. Sadeghi
Journal of Vacuum Science & Technology A, 2009, 27, pp.356-361. ⟨10.1116/1.3081967⟩
Article dans une revue hal-00462482v1

Global model of instabilities in low pressure inductive chlorine discharges

Emilie Despiau-Pujo , Pascal Chabert
Plasma Sources Science and Technology, 2009, 18, pp.045028. ⟨10.1088/0963-0252/18/4/045028⟩
Article dans une revue hal-00462483v1

Molecular dynamics simulations of GaAs sputtering under low energy argon ion bombardment

E. Despiau-Pujo , Pascal Chabert , D.B. Graves
Journal of Vacuum Science & Technology A, 2008, 26, pp.274. ⟨10.1116/1.2836408⟩
Article dans une revue hal-00462479v1

Comparison between fluid simulations and experiments in inductively coupled argon/chlorine plasmas

C.S. Corr , E. Despiau-Pujo , Pascal Chabert , W.G. Graham , F.G. Marro
Journal of Physics D: Applied Physics, 2008, 41, pp.185202. ⟨10.1088/0022-3727/41/18/185202⟩
Article dans une revue hal-00462480v1

Etude des interactions plasma-surface par dynamique moléculaire : quels apports pour la modélisation des plasmas froids ?

Emilie Despiau-Pujo
2èmes Journées du GDR EMILI, Oct 2023, NANCY, France
Communication dans un congrès hal-04509431v1

Atomic-scale simulations of Hx+ ions modification of SiO2 thin films and Si02 pattern flanks for an innovative ONO stack etching process

F. Pinzan , C. Petit-Etienne , F. Leverd , E. Despiau-Pujo
41th International Symposium on Dry Process (DPS 2019), Nov 2019, Hiroshima, Japan
Communication dans un congrès hal-02337577v1

Atomic-scale simulations of He and H2 plasma modification of SiO2 thin films for an innovative ONO dielectric stack etching process

F. Pinzan , R. Blanc , F. Leverd , E. Despiau-Pujo
Plasma Etch Strip in Microtechnology (PESM), Minatec, May 2019, Grenoble, France
Communication dans un congrès hal-02337587v1

A brief overview on Molecular Dynamics simulations of plasma-surface interaction in reactive ion etching

Emilie Despiau-Pujo
66th American Vacuum Society (AVS) International Symposium, Oct 2019, Columbus, United States
Communication dans un congrès hal-02337554v1

Towards selective etching with nanometric control using remote plasma source

E. Pargon , V. Renaud , C. Petit-Etienne , F. Pinzan , E. Despiau-Pujo
236th Electrochemical Society meeting (ECS 2019), Oct 2019, Atlanta, USA, United States
Communication dans un congrès hal-02324706v1

Molecular dynamics simulations for a better understanding of plasma-surface interactions

E. Despiau-Pujo , A. Davydova , V. Martirosyan , Db Graves , G. Cunge
34th International Conf. on Phenomena in Ionized Gases (ICPIG), Jul 2019, Sapporo, Japan
Communication dans un congrès hal-02337568v1

Atomic-scale simulations of helium plasma modification of SiO2 thin-films for advanced etch processes

F Pinzan , F. Leverd , E. Despiau-Pujo
AVS 65th International Symposium, Oct 2018, Long Beach, United States
Communication dans un congrès hal-01954929v1

Plasma solutions for atomic-precision etching: From molecular dynamics simulations to experiments

E. Despiau-Pujo , P. Brichon , V. Martirosyan , J. Dubois , G. Cunge
40th International Symposium on Dry Process (DPS 2018), Nov 2018, Nagoya, Japan
Communication dans un congrès hal-01942798v1

Plasma solutions for atomic-precision etching: From atomistic simulations to experiments

E. Despiau-Pujo , P. Brichon , V. Martirosyan , J. Dubois , G. Cunge
40th International Symposium on Dry Process (DPS 2018), Nov 2018, Nagoya, Japan
Communication dans un congrès hal-01954916v1

Towards atomic scale control of plasma processes: application to graphene

G. Cunge , H. Mehedi , F. Djawhar , H. Okuno , A. Davydova
The 10th EU-Japan Joint Symposium on Plasma Processing, 2017, Okinawa, Japan
Communication dans un congrès hal-01891303v1

Atomic-scale simulations of low-damage plasma etching processes

E. Despiau-Pujo , P. Brichon , V. Martirosyan , J. Dubois , G. Cunge
82nd IUVSTA Workshop on Plasma-based Atomic Layer Processes, Dec 2017, Okinawa, Japan
Communication dans un congrès hal-01891290v1

New trends in Plasma Technologies

O. Joubert , G. Cunge , E. Pargon , L. Vallier , E. Despiau-Pujo
43rd Conference on Micro and Nano Engineering (MNE), Sep 2017, Braga, Portugal
Communication dans un congrès hal-01891286v1

Atomistic Simulations of H2 Plasma Modification of SiN Thin-Films for Advanced Etch Processes

V. Martirosyan , E. Despiau-Pujo , O. Joubert
AVS 64th International Symposium, Tampa (USA), Oct 29-Nov 3, 2017, Oct 2017, tampa, United States
Communication dans un congrès hal-01929202v1

Ultra-high selective etching in remote plasmas: application to smart etch processes

G. Cunge. , C Petit−etienne. , L Vallier. , J Dubois. , R Soriano.
PESM 2017 (Plasma Etch and Strip in Microtechnology), 2017, Louvain, Belgium
Communication dans un congrès hal-01891297v1

Ion-induced damage and etching in reactive plasmas: Modelling and experimental support

E. Despiau-Pujo , P. Brichon , V. Martirosyan , O. Joubert
1st EUCASS Aerospace Thematic Workshops (ATW), Sep 2016, Aussois, France
Communication dans un congrès hal-01882808v1

ICP plasmas treatment of 2D materials: example of graphene

G. Cunge , D. Ferrah , D Marinov , J Zapatas , C. Petit-Etienne
Plasma Etch Strip in Microtechnology (PESM), May 2016, grenoble, France
Communication dans un congrès hal-01882928v1

Atomistic Simulations of He Plasma Modification of Si/SiN Thin-Films for Advanced Etch Processes

V. Martirosyan , E. Despiau-Pujo , O Joubert
AVS 63nd International Symposium, Nov 2016, Nashville, United States
Communication dans un congrès hal-01882922v1

H2 Plasma Interaction with CVD Graphene

G. Cunge , D. Ferrah , D Marinov , J Zapatas , E. Despiau-Pujo
AVS 63nd International Symposium, Nov 2016, Nashville, United States
Communication dans un congrès hal-01882915v1

Roughness generation during Si etching in Cl2 pulsed plasmas

Odile Mourey , Camille Petit-Etienne , Gilles Cunge , Maxime Darnon , Emilie Despiau-Pujo
Plasma Etch and Strip in Microtechnologies conference, May 2016, Grenoble, France
Communication dans un congrès hal-02339971v1

Plasma solutions for nanometric-precision etching: insights from MD simulations

E. Despiau-Pujo , P. Brichon , V. Martirosyan , O. Joubert
Plasma Etch Strip in Microtechnology (PESM), May 2016, Grenoble, France
Communication dans un congrès hal-01882912v1

Alternative solutions for nm-precision etching: H2 Plasmas Modification of Si/ SiN thin-films

E. Despiau-Pujo , V. Martirosyan , O. Joubert
AVS 63nd International Symposium, Nov 2016, Nashville, United States
Communication dans un congrès hal-01882917v1

Atomistic simulations of He plasma modification of Si thin-films for advanced etch processes

V. Martirosyan , E. Despiau-Pujo , O. Joubert
Plasma Etch Strip in Microtechnology (PESM), May 2016, grenoble, France
Communication dans un congrès hal-01882933v1

Plasmas interaction with graphene surfaces

E. Despiau-Pujo , A. Davydova , G. Cunge , D.B. Graves
Fusion Frontiers and Interfaces Workshop, May 2015, York, United Kingdom
Communication dans un congrès hal-01878411v1

Plasmas interaction with graphene surfaces

E. Despiau-Pujo , A. Davydova , G. Cunge , Db Graves
22nd International Symposium on Plasma Chemistry (ISPC), Jul 2015, Antwerp, Belgium
Communication dans un congrès hal-01877972v1

Potential Solutions for Atomic Precision Etching

O. Joubert , E. Despiau-Pujo , G. Cunge , L. Vallier , J Dubois
AVS 62nd International Symposium, Oct 2015, San José, United States
Communication dans un congrès hal-01877989v1

Molecular dynamics simulations of plasma-surface interactions for advanced etch processes

E. Despiau-Pujo , P. Brichon , O. Joubert
42nd European Physical Society (EPS) Conference on Plasma Physics, Jun 2015, Lisbonne, Portugal
Communication dans un congrès hal-01877976v1

Towards nanoscale plasma etching precision: MD simulations of Si-Cl interactions

P. Brichon , E. Despiau-Pujo , O. Mourey , G. Cunge , O. Joubert
AVS 62nd International Symposium, Oct 2015, San José, United States
Communication dans un congrès hal-01878118v1

Measuring IVDF through high-aspect holes in pulsed ICP plasma

G. Cunge , M Darnon , J Dubois , P. Bézard , O. Mourey
68th Gaseous Electronics Conference (GEC), Oct 2015, Honolulu, United States
Communication dans un congrès hal-01878046v1

Producing ion waves from acoustic pressure waves in pulsed ICP: Modelling vs. Experiments

E. Despiau-Pujo , G. Cunge , M Darnon , N. Sadeghi , N Braithwaite
68th Gaseous Electronics Conference (GEC), Oct 2015, Honolulu, United States
Communication dans un congrès hal-01878115v1

Potential Solutions for Atomic Precision Etching

O. Joubert , E. Despiau-Pujo , G. Cunge , P. Brichon , J Dubois
SPIE Advanced Etch Technology for Nanopatterning IV, Feb 2015, San José, United States
Communication dans un congrès hal-01878051v1

Measuring IVDF through high−aspect holes in pulsed ICP plasmas

G. Cunge. , M. Darnon. , J Dubois. , P Bezard. , O Mourey.
68th GEC / ICRP−9, Oct 2015, Honolulu, United States
Communication dans un congrès hal-01878113v1

RFEA analyzers to measure IVDF through high−aspect holes in pulsed ICP plasmas

G. Cunge. , M. Darnon. , J Dubois. , P Bezard. , O Mourey.
Frontiers in Low Temperature Plasma Diagnostics XI, 2015, Porquerolles, France
Communication dans un congrès hal-01878109v1

Plasma processing of graphene surfaces

E. Despiau-Pujo , A. Davydova , G. Cunge , Db Graves
Fusion Frontiers and Interfaces Workshop, May 2015, York, United Kingdom
Communication dans un congrès hal-01877980v1

MD simulations of chlorine plasmas interaction with ultrathin Si films for advanced etch processes

P. Brichon , E. Despiau-Pujo , O Mourey , C. Petit-Etienne , M Darnon
2014 Silicon Nanoelectronics Workshop (SNW), Jun 2014, Honolulu (USA), United States
Communication dans un congrès hal-01798393v1

Pulsed Cl2/Ar ICP plasmas processing : 0D Model vs. Experiments

E. Despiau-Pujo , M. Brihoum , G. Cunge , M Darnon , N Braithwaite
Plasma Etch and Strip in Microelectronics (PESM), May 2014, grenoble, France
Communication dans un congrès hal-01798407v1

MD simulations of Cl2 plasmas interaction with ultrathin Si films for advanced etch processes”

P. Brichon , E. Despiau-Pujo , O Mourey , C. Petit-Etienne , G. Cunge
Plasma Etch and Strip in Microelectronics (PESM), May 2014, Grenoble (France), France
Communication dans un congrès hal-01798396v1

Molecular Dynamics simulations of hydrogen plasma-graphene interaction

A. Davydova , E. Despiau-Pujo , G. Cunge , L. Magaud , L. Delfour
Plasma Etch and Strip in Microelectronics (PESM), May 2014, Grenoble (France), France
Communication dans un congrès hal-01798403v1

Pulsed Plasmas for etching in micro and nanoelectronics

Maxime Darnon , Gilles Cunge , Camille Petit-Etienne , Moritz Haass , Paul Bodart
Plasma Nanoscience Conference, Mar 2014, Dublin, Ireland
Communication dans un congrès hal-02338129v1

Producing ion waves from acoustic pressure waves in pulsed inductive plasmas

Emilie Despiau-Pujo , Gilles Cunge , Maxime Darnon , Nader Sadeghi , Nicholas Braithwaite
Plasma Etch and Strip in Microelectronics (PESM), May 2014, Grenoble, France
Communication dans un congrès hal-01798411v1

Optical and electrical diagnostics in chlorine based pulsed plasmas of an industrial silicon etching reactor

Nader Sadeghi , Gilles Cunge , Maxime Darnon , Emilie Despiau-Pujo , Nicholas St J Braithwaite
1st International Middle-East Plasma Science, Turkey, Apr 2014, Istambul, Turkey
Communication dans un congrès hal-02338122v1

Potential Solutions for Atomic Precision Etching

O. Joubert , E. Despiau-Pujo , G. Cunge , L. Vallier , P. Brichon
Sematech Workshop on Atomic Layer Etch & Clean technology, Apr 2014, San Francisco (USA), United States
Communication dans un congrès hal-01798418v1

Molecular Dynamics simulations of hydrogen plasma – graphene interaction

A. Davydova , E. Despiau-Pujo , G. Cunge , L. Magaud , L. Delfour
Plasma Etch and Strip in Microelectronics (PESM), 6th International Workshop, May 2014, grenoble, France
Communication dans un congrès hal-01798558v1

Cleaning Graphene with H2 plasmas: What can be learnt from Quantum/Classical MD simulations?

L. Delfour , A. Davydova , E. Despiau-Pujo , G. Cunge , Db Graves
European Workshop on Epitaxial Graphene (EWEG), Jun 2014, Primosten, Croatia
Communication dans un congrès hal-01798516v1

MD simulations of chlorine plasmas interaction with ultrathin silicon films for advanced etch processes.

P. Brichon , E. Despiau-Pujo , O Mourey , C. Petit-Etienne , G. Cunge
Plasma Etch and Strip in Microelectronics (PESM), 6th International Workshop, May 2014, grenoble, France
Communication dans un congrès hal-01798524v1

Pulsed ICP plasmas processing: 0D Model vs. Experiments

E. Despiau-Pujo , M. Brihoum , G. Cunge , M Darnon , N Braithwaite
Plasma Etch and Strip in Microelectronics (PESM), 6th International Workshop, May 2014, grenoble, France
Communication dans un congrès hal-01798532v1

Silicon etching using CW, synchronized pulsed and bias pulsed Cl2 plasma

Odile Mourey , C. Petit-Etienne , G. Cunge , M. Darnon , E. Despiau-Pujo
AVS 2014, , 2014, Baltimore, United States
Communication dans un congrès hal-01798348v1

Hydrogen plasmas processing of graphene

E. Despiau-Pujo , A. Davydova , G. Cunge , L. Magaud , Db Graves
61th American Vacuum Society (AVS) International Symposium, Nov 2014, baltimore, United States
Communication dans un congrès hal-01798382v1

Optical and Electrical Diagnostics of Pulsed Plasmas Etching Processes

Gilles Cunge , Maxime Darnon , Camille Petit-Etienne , Paulin Brichon , Romuald Blanc
11th Technological Plasma Workshop, Dec 2013, York, United Kingdom
Communication dans un congrès hal-02338133v1

Time-resolved Optical and Electrical Diagnostics of Pulsed Plasmas Etching

G. Cunge , M. Brihoum , Maxime Darnon , E. Despiau-Pujo , A. Davydova
American Vacuum Society 60th International Symposium, Oct 2013, Long beach, United States
Communication dans un congrès hal-00904432v1

Pulsed ICP plasmas processing : A combined modelling and experimental study

E. Despiau-Pujo , M. Brihoum , G. Cunge , Maxime Darnon , N.S. Braithwaite
31st International Conference on Phenomena in Ionized Gases (ICPIG), Jul 2013, Granada, Spain
Communication dans un congrès hal-00925794v1

Pulsed plasmas for etching in microelectronics

Maxime Darnon , G. Cunge , C. Petit-Etienne , M. Haass , P. Bodart
Journées du réseau plasma froids, 2013, La Rochelle, France
Communication dans un congrès hal-00925769v1

Molecular Dynamics Simulations for Hydrogen Plasma Processing of Graphene

A. Davydova , E. Despiau-Pujo , G. Cunge , D.B. Graves , L. Delfour
American Vacuum Society 60th International Symposium, Oct 2013, Long beach, United States
Communication dans un congrès hal-00904430v1

Molecular Dynamics Simulation for Hydrogen Plasma Processing of Graphene

A. Davydova , E. Despiau-Pujo , G. Cunge , D.B. Graves , L. Delfour
AVS 60th International Symposium, Oct 2013, Long Beach, United States
Communication dans un congrès hal-00925810v1

Optical and Electrical Diagnostics of Pulsed Plasmas Etching Processes

G. Cunge , Maxime Darnon , M. Brihoum , E. Despiau-Pujo , A. Davydova
AVS 60h international symposium, Oct 2013, Long Beach, United States
Communication dans un congrès hal-00925763v1

MD simulations of H2 plasma-graphene interactions

E. Despiau-Pujo , A. Davydova , G. Cunge , L. Delfour , L. Magaud
Plasma Etch and Strip in Microelectronics, Mar 2013, leuven, Belgium
Communication dans un congrès hal-00860933v1

MD Simulations of Pulsed Chlorine Plasmas Interaction with Ultrathin Silicon Films for Advanced Etch Processes

P. Brichon , E. Despiau-Pujo , G. Cunge , Maxime Darnon , O. Joubert
American Vacuum Society 60th International Symposium, Oct 2013, Long beach, United States
Communication dans un congrès hal-00904431v1

Pulsed plasma processing: A combined modelling and experimental study

E. Despiau-Pujo , M. Brihoum , G. Cunge , Maxime Darnon , N. Braithwaite
31st International Conference on Phenomena in Ionized Gases (ICPIG), Jul 2013, Granada, Spain
Communication dans un congrès hal-00904428v1

Pulsed ICP chlorine plasmas : Numerical simulations versus Experiments

E. Despiau-Pujo , M. Brihoum , G. Cunge , Maxime Darnon , N.S. Braithwaite
4th Workshop on Radio Frequency Discharges, May 2013, giens, France
Communication dans un congrès hal-00860934v1

Pulsed plasmas for etching at the nanoscale

Maxime Darnon , G. Cunge , C. Petit Etienne , E. Pargon , L. Vallier
Journées Nationales des Technologies Émergentes, May 2013, Evian les bains, France
Communication dans un congrès hal-00860922v1

MD simulations of chlorine plasmas interaction with ultrathin silicon films for advanced etch processes

P. Brichon , E. Despiau-Pujo , G. Cunge , Maxime Darnon , O. Joubert
AVS 2013, Oct 2013, Long beach, United States
Communication dans un congrès hal-00919179v1

MD simulations of hydrogen plasma interaction with graphene surfaces

D.B. Graves , E. Despiau-Pujo , A. Davydova , G. Cunge , L. Magaud
65th GEC, Oct 2012, Austin, United States
Communication dans un congrès hal-00762119v1

2D fluid simulations of acoustic waves in pulsed ICP discharge: Comparison with experiments

E. Despiau-Pujo , G. Cunge , N. Sadeghi , N.St.J. Braithwaite
65th Gaseous Electronics Conference (GEC), Oct 2012, austin, United States
Communication dans un congrès hal-00925814v1

Molecular dynamics simulations of hydrogen plasma interaction with graphene

E. Despiau-Pujo
11th APCPST, Oct 2012, Kyoto, Japan
Communication dans un congrès hal-00762110v1

MD simulations of hydrogen plasma interaction with graphene surface

E. Despiau-Pujo , A. Davydova , G. Cunge , L. Magaud , D.B. Graves
AVS 59th Symposium, Oct 2012, Tampa, United States
Communication dans un congrès hal-00808676v1

Molecular dynamics simulations of hydrogen plasmas interaction with graphene

E. Despiau-Pujo , A. Davydova , G. Cunge , Db. Graves
11th Asia Pacific Conference on Plasma Science & Technology (APCPST), Oct 2012, kyoto, Japan
Communication dans un congrès hal-00925797v1

2D fluid simulations of acoustic waves in pulsed ICP discharges: Comparison with experiments

E. Despiau-Pujo , G. Cunge , N. Sadeghi , N.St.J. Braithwaite
65th GEC, Oct 2012, Austin, United States
Communication dans un congrès hal-00762116v1

Time resolved ion flux measurement in pulsed ICP plasmas

G. Cunge , Maxime Darnon , N. Braithwaite , E. Despiau-Pujo , P. Bodart
Tampa, Oct 2012, Tampa, United States
Communication dans un congrès hal-00762123v1

PECVD of GeTe for next generation of non volatile memory

Corentin Vallée , L. Dussault , E. Despiau-Pujo , E. Gourvest , D. Jourde
18th International Colloquium on Plasma Processes (CIP), Jul 2011, rennes, France
Communication dans un congrès hal-00925819v1

PE-MOCVD of GeTe material for Phase Change Memory

E. Despiau-Pujo , L. Dussault , Corentin Vallée , E. Gourvest , D. Jourde
58th National Symposium AVS, Oct 2011, Nashville, United States
Communication dans un congrès hal-00650192v1

PE-MOCVD of GeTe materials for Phase Change Memory Applications

E. Despiau-Pujo , L. Dussault , Corentin Vallée , E. Gourvest , D. Jourde
AVS 58th International Symposium, Nashville, USA, Oct 30-Nov 4, 2011, Oct 2011, nashville, United States
Communication dans un congrès hal-00925816v1

Modelling of chlorine inductive discharges

Pascal Chabert , Emilie Despiau-Pujo
International Conference on Plasma and Nanotechnology (ICPLANTS), Mar 2010, Nagoya, Japan
Communication dans un congrès hal-02573326v1

Modelling of chlorine inductive discharges

Pascal Chabert , Emilie Despiau-Pujo
25th Summer School and International Symposium on the Physics of Ionized Gases, Aug 2010, Donji Milanovac, Serbia
Communication dans un congrès hal-02573325v1

Ar Ion Sputtering of GaAs Studied by Molecular Dynamics Simulation and Laser Spectroscopy of Ga Atoms in the Gas Phase

E. Despiau-Pujo , P. Chabert , R. Ramos , G. Cunge , N. Sadeghi
55th International AVS Symposium & Topical Conferences, Oct 2008, boston, United States
Communication dans un congrès hal-00400658v1