MD

Maxime Darnon

319
Documents
Affiliation actuelle
  • Laboratoire Hubert Curien (LabHC)
Identifiants chercheurs

Présentation

Publications

97
68
64
63
61
54
53
53
46
36
35
31
28
27
26
25
22
22
21
21
18
18
17
16
16
16
16
15
15
14
14
14
13
12
12
12
11
11
11
10
10
10
9
9
9
9
8
8
8
8
8
8
8
8
7
7
7
7
7
7
7
7
7
7
7
7
6
6
6
6
6
6
6
6
6
6
6
6
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
14
6
5
5
3
3
3
3
3
3
3
3
3
2
2
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

Publications

Image document

Fabrication and characterization of high performance sub-millimetric InGaP/InGaAs/Ge solar cells

Corentin Jouanneau , Thomas Bidaud , Paul Ferreol , Benjamin Breton , Gwenaelle Hamon et al.
Solar Energy Materials and Solar Cells, 2025, 282, ⟨10.1016/j.solmat.2024.113320⟩
Article dans une revue hal-04818018 v1
Image document

Enhancing minority carrier lifetime in Ge: Insights from HF and HCl cleaning procedures

Alexandre Chapotot , Jérémie Chrétien , Oleh Fesiienko , Erwine Pargon , Jinyoun Cho et al.
Journal of Vacuum Science & Technology A, 2024, 42, pp.013203. ⟨10.1116/6.0003236⟩
Article dans une revue hal-04378872 v1
Image document

Finite Element Modeling and experimental validation of Concentrator Photovoltaic module based on Surface Mount Technology

Konan Kouame , D. Danovitch , P. Albert , A. Turala , M. Volatier et al.
Solar Energy Materials and Solar Cells, 2024, 272, pp.112890. ⟨10.1016/j.solmat.2024.112890⟩
Article dans une revue hal-04572898 v1
Image document

Solar energy on the Moon for fixed or tracked photovoltaic systems

Maxime Weiss , Félix Dumais , Maïté Volatier , Vincent Aimez , Abdelatif Jaouad et al.
EPJ Photovoltaics, 2024, 15, pp.26. ⟨10.1051/epjpv/2024021⟩
Article dans une revue hal-04672416 v1
Image document

Transient-assisted plasma etching (TAPE): Concept, mechanism, and prospects

Atefeh Fathzadeh , Philippe Bezard , Maxime Darnon , Inge Manders , Thierry Conard et al.
Journal of Vacuum Science & Technology A, 2024, 42 (3), ⟨10.1116/6.0003380⟩
Article dans une revue hal-04531012 v1
Image document

Multi-Terminal GaInP/GaInAs/Ge Solar Cells for Subcells Characterization

Thomas Bidaud , Farah Ayari , Vincent Aimez , Corentin Jouanneau , Artur Turala et al.
Energies, 2024, 17 (11), pp.2538. ⟨10.3390/en17112538⟩
Article dans une revue hal-04587190 v1
Image document

Morphological and chemical evolution of monocrystalline porous germanium over time in various storage environments

Valentin Daniel , Jérémie Chretien , Sonia Blais , Jinyoun Cho , Kristof Dessein et al.
Micro and Nano Engineering, 2024, 24, pp.100274. ⟨10.1016/j.mne.2024.100274⟩
Article dans une revue hal-04666673 v1

Sequential fabrication of multiple Ge nanomembranes from a single wafer: Towards sustainable recycling of Ge substrates

Alexandre Chapotot , Bouraoui Ilahi , Tadeáš Hanuš , Gwenaëlle Hamon , Jinyoun Cho et al.
Sustainable Materials and Technologies, 2024, 39, pp.e00806. ⟨10.1016/j.susmat.2023.e00806⟩
Article dans une revue hal-04404216 v1
Image document

A comprehensive performance evaluation of bifacial photovoltaic modules: insights from a year-long experimental study conducted in the Canadian climate

Soufiane Ghafiri , Maxime Darnon , Arnaud Davigny , João Pedro F Trovão , Dhaker Abbes
EPJ Photovoltaics, 2024, 15, pp.28. ⟨10.1051/epjpv/2024025⟩
Article dans une revue hal-04693812 v1
Image document

Overview of DC/DC Converters for Concentrating Photovoltaics (CPVs)

Philippe Camail , Bruno Allard , Maxime Darnon , Charles Joubert , Christian Martin et al.
Energies, 2023, 16 (20), pp.7162. ⟨10.3390/en16207162⟩
Article dans une revue hal-04250826 v1
Image document

Plasma Induced Damage on AlGaN/GaN Heterostructure During Gate Opening for Power Devices

Oleh Fesiienko , Camille Petit-Etienne , Maxime Darnon , Ali Soltani , Hassan Maher et al.
Journal of Vacuum Science & Technology A, 2023, 41 (3), ⟨10.1116/6.0002339⟩
Article dans une revue hal-04030008 v1
Image document

Analysis and Modeling of CPV Performance Loss Factors in Humid Continental Climate

Mehdi Talebi , Maïté Volatier , Gwenaëlle Hamon , Sylvain Nicolay , Christian Dubuc et al.
IEEE Journal of Photovoltaics, 2023, pp.1-8. ⟨10.1109/JPHOTOV.2023.3326564⟩
Article dans une revue hal-04284951 v1
Image document

Optimization of photovoltaic panel tilt angle for short periods of time or multiple reorientations

Yanis Prunier , David Chuet , Sylvain Nicolay , Gwenaëlle Hamon , Maxime Darnon
Energy Conversion and Management: X, 2023, 20, pp.100417. ⟨10.1016/j.ecmx.2023.100417⟩
Article dans une revue hal-04166296 v1
Image document

Germanium Surface Wet-Etch-Reconditioning for Porous Lift-off and Substrate Reuse

Alexandre Chapotot , Bouraoui Ilahi , Javier Arias-Zapata , Tadeáš Hanuš , Ahmed Ayari et al.
Materials Science in Semiconductor Processing, 2023, 168, pp.107851. ⟨10.1016/j.mssp.2023.107851⟩
Article dans une revue hal-04214455 v1
Image document

Low-Cost Passivated Al Front Contacts for III-V/Ge Multijunction Solar Cells

Olivier Richard , Artur Turala , Vincent Aimez , Maxime Darnon , Abdelatif Jaouad
Energies, 2023, 16 (17), pp.6209. ⟨10.3390/en16176209⟩
Article dans une revue hal-04189298 v1
Image document

High‐efficiency GaAs solar cells grown on porous germanium substrate with PEELER technology

Valentin Daniel , Thomas Bidaud , Jérémie Chretien , Nicolas Paupy , Ahmed Ayari et al.
Article dans une revue hal-04298914 v1
Image document

Outdoor Characterization of Solar Cells With Microstructured Antireflective Coating in a Concentrator Photovoltaic Monomodule

Arnaud Joel Kinfack Leoga , Arnaud Ritou , Mathieu Blanchard , Lysandre Dirand , Yanis Prunier et al.
IEEE Journal of Photovoltaics, 2023, ⟨10.1109/JPHOTOV.2023.3295498⟩
Article dans une revue hal-04179111 v1
Image document

Determination of individual I(V) characteristics of each sub-cell of a triple junction device

Christophe Longeaud , J Alvarez , Herinirina Fanevamampiandra , Thomas Bidaud , Gwenaëlle Hamon et al.
EPJ Photovoltaics, 2023, 14, pp.20. ⟨10.1051/epjpv/2023011⟩
Article dans une revue hal-04131766 v1
Image document

3D interconnects for III-V semiconductor heterostructures for miniaturized power devices

Mathieu de Lafontaine , Thomas Bidaud , Guillaume Gay , Erwine Pargon , Camille Petit-Etienne et al.
Cell Reports Physical Science, 2023, 4 (12), pp.101701. ⟨10.1016/j.xcrp.2023.101701⟩
Article dans une revue hal-04303237 v1
Image document

Optimal Sizing and Assessment of Standalone Photovoltaic Systems for Community Health Centers in Mali

Abid Ali , Maïté Volatier , Maxime Darnon
Solar, 2023, 3 (3), pp.522-543. ⟨10.3390/solar3030029⟩
Article dans une revue hal-04210722 v1

Finite Element Modeling and Experimental Validation of Concentrator Photovoltaic Module Based on Surface Mount Technology

Konan Jean Herbert kouame , David Danovitch , Pierre Albert , Artur Turala , Maïté Volatier et al.
SSRN Electronic Journal, 2023, ⟨10.2139/ssrn.4580254⟩
Article dans une revue hal-04301187 v1
Image document

Wafer-scale detachable monocrystalline germanium nanomembranes for the growth of III–V materials and substrate reuse

Nicolas Paupy , Zakaria Oulad Elhmaidi , Alexandre Chapotot , Tadeáš Hanuš , Javier Arias-Zapata et al.
Nanoscale Advances, 2023, 5 (18), pp.4696-4702. ⟨10.1039/D3NA00053B⟩
Article dans une revue hal-04235406 v1
Image document

Multijunction solar cell mesa isolation: Correlation between process, morphology and cell performance

Mathieu de Lafontaine , Farah Ayari , Erwine Pargon , Guillaume Gay , Camille Petit-Etienne et al.
Solar Energy Materials and Solar Cells, 2022, 239, pp.111643. ⟨10.1016/j.solmat.2022.111643⟩
Article dans une revue hal-03582886 v1
Image document

Microstructured antireflective encapsulant on concentrator solar cells

Gavin P Forcade , Arnaud Ritou , Philippe St‐pierre , Olivier Dellea , Maïté Volatier et al.
Progress in Photovoltaics, 2021, ⟨10.1002/pip.3468⟩
Article dans une revue hal-03346541 v1
Image document

Miniaturization of InGaP/InGaAs/Ge solar cells for microconcentrator photovoltaics

Pierre Albert , Abdelatif Jaouad , Gwenaëlle Hamon , Maïté Volatier , Christopher E Valdivia et al.
Progress in Photovoltaics, 2021, ⟨10.1002/pip.3421⟩
Article dans une revue hal-03219465 v1
Image document

Anisotropic and low damage III-V/Ge heterostructure etching for multijunction solar cell fabrication with passivated sidewalls

Mathieu de Lafontaine , Erwine Pargon , Guillaume Gay , Camille Petit-Etienne , Sylvain David et al.
Micro and Nano Engineering, 2021, 11, pp.100083. ⟨10.1016/j.mne.2021.100083⟩
Article dans une revue hal-03366508 v1
Image document

Three‐junction monolithic interconnected modules for concentrator photovoltaics

Pierre Albert , Abdelatif Jaouad , Gwenaëlle Hamon , Maïté Volatier , Yannick Deshayes et al.
Progress in Photovoltaics, 2021, 29, pp.603-613. ⟨10.1002/pip.3404⟩
Article dans une revue hal-03174950 v1
Image document

Anisotropic and low damage III-V/Ge heterostructure etching for multijunction solar cell fabrication with passivated sidewalls

Mathieu de Lafontaine , Erwine Pargon , Camille Petit-Etienne , Sylvain David , Jean-Paul Barnes et al.
Micro and Nano Engineering, 2021, pp.100083. ⟨10.1016/j.mne.2021.100083⟩
Article dans une revue hal-03171055 v1
Image document

Self‐powered light‐induced plating for III‐V/Ge triple‐junction solar cell metallization

Clément Laucher , Gwenaelle Hamon , Artur Turala , Maité Volatier , Maxime Darnon et al.
Energy Science & Engineering, 2020, 8, pp.3672-3681. ⟨10.1002/ese3.774⟩
Article dans une revue hal-02901047 v1
Image document

Climate impact analysis on the optimal sizing of a stand-alone hybrid building

Jules Voisin , Maxime Darnon , Abdelatif Jaouad , Maité Volatier , Vincent Aimez et al.
Energy and Buildings, 2020, 210, pp.109676. ⟨10.1016/j.enbuild.2019.109676⟩
Article dans une revue hal-02442654 v1
Image document

Surface preparation of porous Si-graphene nanocomposites for heteroepitaxy

Mourad Jellite , Maxime Darnon , Roxana Arvinte , Mohammad Reza Aziziyan , Denis Machon et al.
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics, 2020, 38 (5), pp.053202. ⟨10.1116/6.0000423⟩
Article dans une revue hal-02947129 v1
Image document

Epitaxial lift-off of InGaAs solar cells from InP substrate using a strained AlAs/InAlAs superlattice as a novel sacrificial layer

F. Chancerel , P. Regreny , J.L. Leclercq , S. Brottet , M. Volatier et al.
Solar Energy Materials and Solar Cells, 2019, 195, pp.204-212. ⟨10.1016/j.solmat.2019.02.013⟩
Article dans une revue hal-02071796 v1
Image document

Influence of plasma process on III-V/Ge multijunction solar cell via etching

Mathieu de Lafontaine , Erwine Pargon , Camille Petit-Etienne , Guillaume Gay , Abdelatif Jaouad et al.
Solar Energy Materials and Solar Cells, 2019, 195, pp.49-54. ⟨10.1016/j.solmat.2019.01.048⟩
Article dans une revue hal-02064455 v1

A Hydrogen Plasma Treatment for Soft and Selective Silicon Nitride Etching

Meriem Bouchilaoun , Ali Soltani , Ahmed Chakroun , Abdelatif Jaouad , Maxime Darnon et al.
Physica Status Solidi A (applications and materials science), 2018, pp.1700658. ⟨10.1002/pssa.201700658⟩
Article dans une revue hal-01760514 v1

Impact of Via Hole Integration on Multijunction Solar Cells for Through Cell Via Contacts and Associated Passivation Treatment

Mathieu de Lafontaine , Maxime Darnon , Clément Colin , Boussairi Bouzazi , Maité Volatier et al.
IEEE Journal of Photovoltaics, 2017, 7 (5), pp.1456 - 1461. ⟨10.1109/JPHOTOV.2017.2711423⟩
Article dans une revue hal-01760501 v1
Image document

Measuring ion velocity distribution functions through high-aspect ratio holes in inductively coupled plasmas

G. Cunge , Maxime Darnon , J Dubois , P. Bézard , O Mourey et al.
Applied Physics Letters, 2016, 108, pp.93109 - 32108. ⟨10.1063/1.4942892⟩
Article dans une revue hal-01865123 v1

Roughness generation during Si etching in Cl 2 pulsed plasma

Odile Mourey , Camille Petit-Etienne , Gilles Cunge , Maxime Darnon , Emilie Despiau-Pujo et al.
Journal of Vacuum Science & Technology A, 2016, 34 (4), ⟨10.1116/1.4951694⟩
Article dans une revue hal-01881982 v1
Image document

Selective dry etching of TiN nanostructures over SiO2 nanotrenches using a Cl2/Ar/N2 inductively coupled plasma

Bruno Lee Sang , Marie-Josée Gour , Maxime Darnon , Serge Ecoffey , Abdelatif Jaouad et al.
Journal of Vacuum Science and Technology, 2016, 34 (2), ⟨10.1116/1.4936885⟩
Article dans une revue hal-01701405 v1

Inductively coupled plasma etching of ultra-shallow Si3N4 nanostructures using SF6/C4F8 chemistry

Bruno Lee Sang , Marie-Josée Gour , Abdelatif Jaouad , Serge Ecoffey , Maxime Darnon et al.
Microelectronic Engineering, 2015, 141, pp.68 - 71. ⟨10.1016/j.mee.2015.01.014⟩
Article dans une revue hal-01916788 v1

Deep germanium etching using time multiplexed plasma etching

Maxime Darnon , Mathieu de Lafontaine , Maité Volatier , Simon Fafard , Richard A Arès et al.
Journal of Vacuum Science and Technology, 2015, 33, pp.060605. ⟨10.1116/1.4936112⟩
Article dans une revue hal-01916768 v1
Image document

The efficacy of post porosity plasma protection against vacuum-ultraviolet damage in porous low-k materials

K. Lionti , Maxime Darnon , W. Volksen , T. Magbitang , G. Dubois
Journal of Applied Physics, 2015, 117 (11), ⟨10.1063/1.4915508⟩
Article dans une revue hal-01916782 v1
Image document

Silicon etching in a pulsed HBr/O−2 plasma. I. Ion flux and energy analysis

Moritz Haass , Maxime Darnon , Gilles Cunge , Olivier Joubert , David Gahan
Journal of Vacuum Science and Technology, 2015, 33 (3), pp.032202. ⟨10.1116/1.4917230⟩
Article dans une revue hal-01878009 v1
Image document

Silicon etching in a pulsed HBr/O−2 plasma. II. Pattern transfer

Moritz Haass , M. Darnon , Gilles Cunge , Olivier Joubert
Journal of Vacuum Science & Technology B Microelectronics and Nanometer Structures, 2015, 12 (118), ⟨10.1116/1.4917231⟩
Article dans une revue hal-01878012 v1

Modification of porous SiOCH by first contact with water vapor after plasma process

Maxime Darnon , Névine Rochat , Christophe Licitra
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics, 2015, 33 (6), pp.061205. ⟨10.1116/1.4932533⟩
Article dans une revue hal-01916773 v1
Image document

Evolution of Bulk c-Si Properties during the Processing of GaP/c-Si Heterojunction Cell

Renaud Varache , Maxime Darnon , Médéric Descazeaux , Mickaël Martin , Thierry Baron et al.
Energy Procedia, 2015, 77, pp.493-499. ⟨10.1016/j.egypro.2015.07.070⟩
Article dans une revue hal-01991905 v1
Image document

Toward Successful Integration of Porous Low-k Materials: Strategies Addressing Plasma Damage

K. Lionti , W. Volksen , T. Magbitang , Maxime Darnon , G. Dubois
ECS Journal of Solid State Science and Technology, 2014, 4 (1), pp.N3071 - N3083. ⟨10.1149/2.0081501jss⟩
Article dans une revue hal-01916807 v1

Patterning of silicon nitride for CMOS gate spacer technology. III. Investigation of synchronously pulsed CH3F/O2/He plasmas

R. Blanc , F. Leverd , Maxime Darnon , G. Cunge , S. David et al.
Journal of Vacuum Science and Technology, 2014, B 32 ,, pp.021807. ⟨10.1116/1.4867357⟩
Article dans une revue hal-00968799 v1

Pulsed Cl2/Ar inductively coupled plasma processing: 0D model versus experiments

Emilie Despiau-Pujo , Melisa Brihoum , Paul Bodart , M Darnon , Gilles Cunge
Journal of Physics D: Applied Physics, 2014, 47 (45), pp.16276 - 16282. ⟨10.1088/0022-3727/47/45/455201⟩
Article dans une revue hal-01798618 v1
Image document

Prediction of porous dielectric line wiggling phenomenon with metallic hard mask: From simulation to experiment

J. Ducote , N. Posseme , T. David , Maxime Darnon , T. Chevolleau et al.
Applied Physics Letters, 2014, 104 (23), ⟨10.1063/1.4882080⟩
Article dans une revue hal-01916808 v1

Time-resolved ion flux, electron temperature and plasma density measurements in a pulsed Ar plasma using a capacitively coupled planar probe

Maxime Darnon , Gilles Cunge , Nicholas St J Braithwaite
Plasma Sources Science and Technology, 2014, 23 (2), ⟨10.1088/0963-0252/23/2/025002⟩
Article dans une revue hal-01798624 v1

Analysis of water adsorption in plasma-damaged porous low-k dielectric by controlled-atmosphere infrared spectroscopy

Maxime Darnon , C. Licitra , N. Rochat , J. Zocco , T. Chevolleau
Journal of Vacuum Science and Technology, 2013, pp.B 31(6). ⟨10.1116/1.4827252⟩
Article dans une revue hal-00925515 v1

Investigation of plasma etch damage to porous oxycarbosilane ultra low- k dielectric

R.L. Bruce , S. Engelmann , S. Purushothaman , W. Volksen , T.J. Frot et al.
Journal of Physics D: Applied Physics, 2013, 46(26), pp.265303. ⟨10.1088/0022-3727/46/26/265303⟩
Article dans une revue hal-00860913 v1

Atomic-scale silicon etching control using pulsed Cl-2 plasma

C. Petit-Etienne , Maxime Darnon , P. Bodart , M. Fouchier , G. Cunge et al.
Journal of Vacuum Science and Technology, 2013, 31 (1), pp.011201. ⟨10.1116/1.4768717⟩
Article dans une revue hal-00944924 v1

Ion flux and ion distribution function measurements in synchronously pulsed inductively coupled plasmas

M. Brihoum , G. Cunge , Maxime Darnon , D. Gahan , O. Joubert et al.
Journal of Vacuum Science and Technology, 2013, A 31(2), pp.020604. ⟨10.1116/1.4790364⟩
Article dans une revue hal-00860915 v1

Impact of low-k structure and porosity on etch processes

Maxime Darnon , N. Casiez , T. Chevolleau , G. Dubois , W. Volksen et al.
Journal of Vacuum Science and Technology, 2013, pp.B 31, 011207. ⟨10.1116/1.4770505⟩
Article dans une revue hal-00808847 v1

Critical Review: Pulsed High-Density Plasmas for Advanced Dry Etching Processes

S. Banna , A. Agarwal , T. Lill , G. Cunge , Maxime Darnon et al.
Journal of Vacuum Science & Technology A, 2012, pp.30, 040801. ⟨10.1116/1.4716176⟩
Article dans une revue hal-00808668 v1
Image document

Sidewall passivation layer thickness and composition profiles of etched silicon patterns from angle resolved x-ray photoelectron spectroscopy analysis

Moritz Haass , Maxime Darnon , Olivier Joubert
Journal of Applied Physics, 2012, 111 (12), pp.111-124905. ⟨10.1063/1.4729775⟩
Article dans une revue hal-00755597 v1

Integration of a manufacturing grade, k = 2.0 spin-on material in a single damascene structure

Willi Volksen , Sampath Purushothaman , Maxime Darnon , Mike Lofaro , Stephan Cohen et al.
ECS Journal of Solid State Science and Technology, 2012, 1 (5), pp.N85-N90. ⟨10.1149/2.013205jss⟩
Article dans une revue hal-00808848 v1

Silicon recess minimization during gate patterning using synchronous plasma pulsing

C. Petit-Etienne , E. Pargon , S. David , Maxime Darnon , L. Vallier et al.
Journal of Vacuum Science and Technology, 2012, pp.B 30, 040604. ⟨10.1116/1.4737125⟩
Article dans une revue hal-00777317 v1
Image document

Pulsed high-density plasmas for advanced dry etching processes

S. Banna , A. Ankargul , G. Cunge , Maxime Darnon , E. Pargon et al.
Journal of Vacuum Science & Technology A, 2012, 30 (4), pp.040801. ⟨10.1116/1.4716176⟩
Article dans une revue hal-00808849 v1

Etching mechanisms of thin SiO2 exposed to Cl2 plasma

C. Petit-Etienne , Maxime Darnon , L. Vallier , E. Pargon , G. Cunge et al.
Journal of Vacuum Science and Technology, 2011, B 29(5), Sep/Oct 2011
Article dans une revue hal-00629230 v1
Image document

Study of porous SiOCH patterning using metallic hard mask: challenges and solutions

Nicolas Posseme , Thibaut David , Thierry Chevolleau , Maxime Darnon , Fanny Bailly et al.
ECS Transactions, 2011, 35 (4), pp.667-685. ⟨10.1149/1.3572312⟩
Article dans une revue hal-00629313 v1
Image document

Development of porosimetry techniques for the characterization of plasma-treated porous ultra low-K materials

Christophe Licitra , Thierry Chevolleau , Régis Bouyssou , Mohamed El Kodadi , Georg Haberfehlner et al.
ECS Transactions, 2011, 35 (4), pp.729-746. ⟨10.1149/1.3572316⟩
Article dans une revue hal-00625361 v1

Residue growth on metallic hard mask after dielectric etching in fluorocarbon based plasmas. II. Solutions

N. Posseme , R. Bouyssou , T. Chevolleau , T. David , V. Arnal et al.
Vac. Sci. Technol., 2011, pp.B 29 (1), Jan/Feb 2011, 011018
Article dans une revue hal-00625286 v1
Image document

Porous SiOCH integration: etch challenges with a trench first metal hard mask approach

Nicolas Possémé , Thibaut David , Thierry Chevolleau , Maxime Darnon , Philippe Brun et al.
ECS Transactions, 2011, 34, pp.389. ⟨10.1149/1.3567609⟩
Article dans une revue hal-00647490 v1

Synchronous Pulsed Plasma for Silicon Etch Applications

Maxime Darnon , C. Petit-Etienne , E. Pargon , G. Cunge , L. Vallier et al.
ECS Trans, 2010, pp.27 (1), 717-723
Article dans une revue hal-00625292 v1
Image document

Roughening of porous SiCOH materials in fluorocarbon plasmas

F. Bailly , T. David , T. Chevolleau , Maxime Darnon , N. Posseme et al.
Journal of Applied Physics, 2010, 108 (1), pp.014906. ⟨10.1063/1.3446820⟩
Article dans une revue hal-00848955 v1
Image document

Reducing damage to Si substrates during gate etching processes by synchronous plasma pulsing

C. Petit-Etienne , Maxime Darnon , L. Vallier , E. Pargon , G. Cunge et al.
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics, 2010, 28 (5), pp.926-935. ⟨10.1116/1.3483165⟩
Article dans une revue hal-00623374 v1

Patterning of porous SiOCH using an organic mask: Comparison with a metallic masking strategy

Maxime Darnon , T. Chevolleau , T. David , J. Ducote , N. Posseme et al.
Journal of Vacuum Science and Technology, 2010, B28 (1), pp 149-156
Article dans une revue hal-00461126 v1
Image document

Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density Circuit Prototyping

Michael A Guillorn , Johan Chang , Nicholas Fuller , Jayesh Patel , Maxime Darnon et al.
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics, 2009, 27 (6), pp.2588-2592. ⟨10.1116/1.3246357⟩
Article dans une revue hal-00461129 v1

Dielectric Reliability of 50nm Half Pitch Structures in Aurora® LK

S. Demuynck , H. Kim , C. Huffman , Maxime Darnon , H. .Struyf et al.
Japanese Journal of Applied Physics, 2009, 48, April 2009, 04C018
Article dans une revue hal-00625298 v1
Image document

Evaluation of ellipsometric porosimetry for in-line characterization of ultra low-$\kappa$ dielectrics

C. Licitra , F. Bertin , Maxime Darnon , T. Chevolleau , C. Guedj et al.
Physica Status Solidi C: Current Topics in Solid State Physics, 2008, 5 (5), pp.1278-1282. ⟨10.1002/pssc.200777776⟩
Article dans une revue hal-00387517 v1

Modifications of dielectric films induced by plasma ashing processes: Hybrid versus porous SiOCH materials

Maxime Darnon , T. Chevolleau , T. David , N. Posseme , J. Ducote et al.
Journal of Vacuum Science and Technology, 2008, pp.B 26, 1964-1970
Article dans une revue hal-00385675 v1

Efficiency of reducing and oxidizing ash plasmas in preventing metallic barrier diffusion into porous SiOCH

N. Posseme , T. Chevolleau , T. David , Maxime Darnon , J.P. Barnes et al.
Microelectronic Engineering, 2008, 85, pp.1842-1849
Article dans une revue hal-00387514 v1
Image document

Patterning of narrow porous SiOCH trenches using a TiN hard mask

Maxime Darnon , T. Chevolleau , D. Eon , R. Bouyssou , B. Pelissier et al.
Microelectronic Engineering, 2008, 85 (11), pp.2226-2235. ⟨10.1016/j.mee.2008.06.025⟩
Article dans une revue hal-00387506 v1

Etch mechanisms of hybrid low-k material (SiOCH with porogen) in fluorocarbon based plasma

D. Eon , Maxime Darnon , T. Chevolleau , T. David , L. Vallier et al.
J. Vac Sc. Technol., 2007, pp.B 25, (2007), 715-720
Article dans une revue hal-00397077 v1
Image document

Undulation of sub-100 nm porous dielectric structures: A mechanical analysis

Maxime Darnon , T. Chevolleau , O. Joubert , S. Maitrejean , J.C. Barbe et al.
Applied Physics Letters, 2007, 91 (19), ⟨10.1063/1.2805774⟩
Article dans une revue hal-00397092 v1
Image document

Mechanisms of porous dielectric film modification induced by reducing and oxidizing ash plasmas in high-density plasmas

N. Posseme , T. Chevolleau , T. David , Maxime Darnon , O. Louveau et al.
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics, 2007, 25 (6), pp.1928-1940. ⟨10.1116/1.2804615⟩
Article dans une revue hal-00397094 v1

Analysis of chamber wall coatings during the patterning of ultralow-k materials with a metal hard mask: Consequences on cleaning strategies

T. Chevolleau , Maxime Darnon , T. David , N. Posseme , J. Torres et al.
Journal of Vacuum Science and Technology, 2007, Vol 25, pp. 886-892
Article dans une revue hal-00461587 v1

Etching characteristics of TiN used as hard mask in dielectric etch process

Maxime Darnon , T. Chevolleau , D. Eon , L. Vallier , J. Torres et al.
J. Vac. Sc. Technol., 2006, B 24, pp.2262-2270
Article dans une revue hal-00397064 v1

Towards a controlled patterning of 10 nm silicon gates in high density plasmas

E. Pargon , Maxime Darnon , O. Joubert , T. Chevolleau , L. Vallier et al.
Journal of Vacuum Science and Technology, 2005, B 23, pp.1913-1923
Article dans une revue hal-00397042 v1
Image document

Micro fabrication of III-V based solar cells for weight reduction and performance improvement

Maxime Darnon , Alexandre Chapotot , Valentin Daniel , Tadeáš Hanuš , Nicolas Paupy et al.
Space Photovoltaics for Energy Conversion in extra-terrestrial environment Workshop, INES, Jul 2024, Palaiseau (Ecole Polytechnique), France
Communication dans un congrès hal-04674987 v1

Development of a Plasma Etching Process of Copper for the Microfabrication of High-Density Interconnects in Advanced Packaging

Juliano Borges , Maxime Darnon , Yann Beilliard , Serge Ecoffey , Isabel de Sousa et al.
2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), May 2023, Orlando (FL), United States. pp.1369-1373, ⟨10.1109/ECTC51909.2023.00234⟩
Communication dans un congrès hal-04654848 v1

Towards cost-effective and sustainable III-V solar cells fabrication

A. Chapotot , B. Ilahi , T. Hanus , G. Hamon , J. Cho et al.
IEEE 50th Photovoltaic Specialists Conference (PVSC 2023), 2023, San Juan, Puerto Rico
Communication dans un congrès hal-04303548 v1

Development of a plasma etching process of copper for the microfabrication of high-density interconnects in advanced packaging

J Borges , M Darnon , Y Beilliard , S Ecoffey , I de Sousa et al.
IEEE 73rd Electronic Components and Technology Conference, 2023, Orlando (FL), United States
Communication dans un congrès hal-04301212 v1
Image document

Low-temperature joining of semiconductor substrates and thin layers on solid and flexible wafers by solid-liquid inter-diffusion bonding using a low-thickness Ni-Sn system

Martina Zanetti , Alexandre Danescu , Jean-Louis Leclercq , Philippe Regregny , Maxime Darnon et al.
DTIP Malta 2023, May 2023, La Valletta, Malta. ⟨10.1109/DTIP58682.2023.10267939⟩
Communication dans un congrès hal-04566973 v1
Image document

A Novel Partial Power Cascaded DC/DC Topology for CPV Application: A Theoretical Study

Philippe Camail , Christian Martin , Bruno Allard , Charles Joubert , Maxime Darnon et al.
2023 IEEE 14th International Conference on Power Electronics and Drive Systems (PEDS), IEEE, Aug 2023, Montreal, Canada. pp.1-6, ⟨10.1109/PEDS57185.2023.10246548⟩
Communication dans un congrès hal-04236853 v1
Image document

Optimization of Diesel Generator Usage for Multi-Source Nano-Grid

Mathieu Blanchard , Abid Ali , Christian Dubuc , João Pedro F. Trovão , Maxime Darnon
2023 IEEE 14th International Conference on Power Electronics and Drive Systems (PEDS), Aug 2023, Montreal, Canada. pp.1-5, ⟨10.1109/PEDS57185.2023.10246652⟩
Communication dans un congrès hal-04236828 v1
Image document

New Triple-Junction Solar Cell Assembly Process for Concentrator Photovoltaic Applications

Konan Kouame , P. Haghparast , Pierre Albert , Artur Turala , Thomas Bidaud et al.
2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), May 2023, Orlando (FL), United States. pp.2223-2229, ⟨10.1109/ECTC51909.2023.00385⟩
Communication dans un congrès hal-04236794 v1

New Concentrated Photovoltaic (CPV) module architecture

K. Kouame , D. Danovitch , P. Albert , A. Turala , M. Volatier et al.
IEEE 73rd Electronic Components and Technology Conference (ECTC), May 2023, Orlando (FL), United States
Communication dans un congrès hal-04303557 v1

Microfabrication of a detachable Ge epitaxial layer grown on porous germanium for PV application

Valentin Daniel , Jeremie Chretien , Gwenaelle Hamon , Mathieu De Lafontaine , Nicolas Paupy et al.
49th IEEE Photovoltaic Specialists Conference (IEEE-PVSC 49), Jun 2022, Philadelphia (PA), United States
Communication dans un congrès hal-04303582 v1

Plasma Etching of Copper for the Microfabrication of High-Density Interconnects in Advanced Packaging

Juliano Borges , Maxime Darnon , Yann Beilliard , Dominique Drouin
AVS 68th International Symposium & Exhibition, Nov 2022, Pittsburgh, United States
Communication dans un congrès hal-03910308 v1

Development of low-damage plasma process using remote plasma source for the gate opening step in the AlGaN/GaN MOS HEMT integration

Oleh Fesiienko , Camille Petit-Etienne , Maxime Darnon , Ali Soltani , Hassan Maher et al.
Plasma Etch and Strip in Microtechnologies, Sep 2022, Leuven, Belgium
Communication dans un congrès hal-03831336 v1

Plasma etching of III-V materials for photo transducers fabrication

Benjamin Breton , Thomas Bidaud , Mathieu de Lafontaine , Abdelatif Jaouad , Denis Masson et al.
Plasma Etch and Strip in Microelectronics, Sep 2022, Leuven, Belgium
Communication dans un congrès hal-03831333 v1

Micro-Scale III-V/GeMultijunction Solar Cell with Through Cell Via Contacts

M de Lafontaine , G Gay , E Pargon , C Petit-Etienne , Serge Ecoffey et al.
49th IEEE Photovoltaic Specialists Conference, Jun 2022, Philadelphia, United States
Communication dans un congrès hal-03821787 v1

Application of DC/DC Partial Power Conversion to Concentrator Photovoltaics

Philippe Camail , Christian Martin , Bruno Allard , Charles Joubert , Maxime Darnon et al.
48th Annual Conference of the Industrial Electronics Society (IECON), IEEE, Oct 2022, Bruxelles, Belgium. ⟨10.1109/IECON49645.2022.9968818⟩
Communication dans un congrès hal-03831326 v1

A Comparative Study of Existing Approaches for Modeling the Incident Irradiance on Bifacial Panels

Soufiane Ghafiri , Maxime Darnon , Arnaud Davigny , Jovao Pedro Trovao , Dhaker Abbes
Electrimacs, May 2022, Nancy, France
Communication dans un congrès hal-03831357 v1

Multi-Terminal Three-Junction Solar Cells for Sub-Cells Characterization

Farah Ayari , Solène Moreau , Mathieu De Lafontaine , Artur Turala , Gwenaelle Hamon et al.
18th Conference on Concentrated PhotoVoltaïcs System, Apr 2022, on line, Japan
Communication dans un congrès hal-03831364 v1
Image document

Sub-millimeter-scale multijunction solar cells for concentrator photovoltaics (CPV)

Maxime Darnon , Mathieu de Lafontaine , Pierre Albert , Corentin Jouanneau , Thomas Bidaud et al.
SPIE OPTO 2022 - Physics, Simulation, and Photonic Engineering of Photovoltaic, SPIE, Feb 2022, San Francisco, United States. ⟨10.1117/12.2613441⟩
Communication dans un congrès hal-03781347 v1

Reuse of Ge substrates for cost-effective fabrication of III-V/Ge thin solar cells

Alexandre Chapotot , Javier Arias-Zapata , Jeremie Chrétien , Tadeas Hanus , Nicolas Paupy et al.
49th Photovoltaic Specialists Conference, IEEE, Jun 2022, Philadelphia, United States
Communication dans un congrès hal-03831350 v1

Micro-Scale III-V/Ge Multijunction Solar Cell with Through Cell Via Contacts

Mathieu de Lafontaine , Gay G , E. Pargon , C. Petit-Etienne , R Stricher et al.
49th IEEE Photovoltaic Specialists Conference, Jun 2022, Philadelphia, United States
Communication dans un congrès hal-03686951 v1

Miniaturization of high efficiency InGaP/InGaAs/Ge solar cells andpathways for further improvements

Pierre Albert , Mathieu De Lafontaine , Abdelatif Jaouad , Artur Turala , Gwenaëlle Hamon et al.
8th World Conference on Photovoltaic Energy Conversion (WCPEC-8), Sep 2022, Milan, Italy
Communication dans un congrès hal-04303561 v1
Image document

CPV Module to Rate Antireflective and Encapsulant Coating in Outdoor Conditions

A. Ritou , P. St Pierre , P.O. Provost , G. Forcade , C. Dubuc et al.
17th Conference on Concentrated PhotoVoltaïcs Systems (CPV17), Apr 2021, Denver (On line), United States. pp.030004, ⟨10.1063/5.0099255⟩
Communication dans un congrès hal-03349748 v1

First Feedback from a CPV Plant in a Nordic Location, Québec, Canada

Mehdi Talebi , Maite Volatier , Abdelatif Jaouad , Christian Dubuc , Vincent Aimez et al.
18th Conference on Concentrated PhotoVoltaïcs System, Apr 2022, online, Japan
Communication dans un congrès hal-03831362 v1

Multiple substrate reuse : straightforward reconditioning of Ge wafers after porous separation

Alexandre Chapotot , Javier Arias-Zapata , Tadeas Hanus , Bouraoui Ilahi , Nicolas Paupy et al.
49th IEEE Photovoltaic Specialists Conference (IEEE-PVSC 49), Jun 2022, Philadelphia (PA), United States
Communication dans un congrès hal-04303574 v1

Micro-fabrication and transfer of a detachable Ge epitaxial layer grown on porous germanium

Valentin Daniel , Jeremie Chretien , Gwenaelle Hamon , Mathieu De Lafontaine , Nicolas Paupy et al.
49th Photovoltaic Specialists Conference, Jun 2022, Philadelphia (PA), United States. ⟨10.1109/PVSC48317.2022.9938777⟩
Communication dans un congrès hal-03831353 v1

Development of low-damage plasma etching processes for preserving AlGaN/GaN heterostructure integrity in MIS HEMT

Oleh Fesiienko , Camille Petit-Etienne , Maxime Darnon , Ali Soltani , Hassan Maher et al.
Compound Semiconductors weeks, May 2022, Ann Arbor, United States. ⟨10.1109/CSW55288.2022.9930445⟩
Communication dans un congrès hal-03831356 v1

Miniaturization of High Efficiency InGaP/InGaAs/Ge Solar Cells and Pathways for Further Improvements

Thomas Bidaud , Corentin Jouanneau , Pierre Albert , Mathieu de Lafontaine , Abdelatif Jaouad et al.
World Conference on Photovoltaics and Energy Conversion, Sep 2022, Milan, Italy
Communication dans un congrès hal-03831341 v1
Image document

III-V/Ge multijunction solar cell with through cell via contact fabrication and characterization

Mathieu de Lafontaine , Guillaume Gay , Erwine Pargon , Camille Petit-Etienne , Romain Stricher et al.
PVSC 2021 - 48th IEEE Photovoltaic Specialists Conference, IEEE, Jun 2021, Fort Lauderdale (virtual event), United States. pp.2231-2233, ⟨10.1109/PVSC43889.2021.9519047⟩
Communication dans un congrès hal-03331595 v1

Unconventional triple junction solar cells based on micro technologies

Maxime Darnon , M. Volatier , G. Hamon , P. Albert , M. De Lafontaine et al.
2020 47th IEEE Photovoltaic Specialists Conference (PVSC), Jun 2020, Online, Canada. ⟨10.1109/PVSC45281.2020.9300451⟩
Communication dans un congrès hal-02991187 v1

Cu-based Metallization for Silicon Heterojunction Solar Cells

Antonin Faes , Delfina Munoz , Agata Lachowicz , Nicolas Blondiaux , Jerome Gay et al.
4th International Workshop for Silicon Heterojunction Solar Cells, Nov 2021, Neuchatel, Switzerland
Communication dans un congrès hal-03831367 v1

InGaP/InGaAs/Ge microcells robustness assessment

P. Albert , Y. Deshayes , G. Hamon* , A. Jaouad , M. Volatier et al.
17th Conference on Concentrated Photovoltaics Systems (CPV17), Apr 2021, Freiburg, Germany
Communication dans un congrès hal-03349727 v1

Comparative Study of Low Damage Plasma Etching Processes on the Integrity of AlGaN Layers Integrated in GaN HEMT During Gate Opening,

O Fesiienko , C. Petit-Etienne , M. Darnon , A. Soltani , H. Maher et al.
AVS 67 Virtual Symposium, Oct 2021, Virtual Symposium, United States
Communication dans un congrès hal-03448993 v1

III-V/Ge Heterostructure Plasma Etching and Passivation With a Single Plasma Process for Low-Damage Multijunction Solar Cell Fabrication,

Mathieu De Lafontaine , E. Pargon , G. Gay , C. Petit-Etienne , J. Barnes et al.
AVS 67 Virtual Symposium, Oct 2021, Virtual Symposium, United States
Communication dans un congrès hal-03448973 v1
Image document

Multijunction Solar Cell Mesa Isolation: A Comparative Study

Mathieu de Lafontaine , Farah Ayari , Erwine Pargon , Guillaume Gay , Camille Petit-Etienne et al.
17th Conference on Concentrated PhotoVoltaïcs System, 2021, Denver (On line), United States
Communication dans un congrès hal-03407487 v1

Plasma Etching: an Enabler to Better Concentrated Photovoltaics Systems

M. Darnon , M. De Lafontaine , P. Albert , G. Hamon , M. Volatier et al.
Joint International Conference on the 8th International Conference on Microelectronics and Plasma Technology (ICMAP) & 9th International Symposium n Functional Materials (ISFM), Jan 2021, Hwaseong, South Korea
Communication dans un congrès hal-03349738 v1

Multijunction Solar Cell Electrical Isolation and Passivation with a Single Plasma Process.

M. De Lafontaine , F. Ayari , E. Pargon , G. Gay , C. Petit-Etienne et al.
17th Conference on Concentrated PhotoVoltaïcs Systems (CPV17), Apr 2021, Freiburg (on line), Germany
Communication dans un congrès hal-03349744 v1
Image document

Comparison of Various InGaAs-based Solar Cells for Concentrated Photovoltaics Applications

François Chancerel , Philippe Regreny , Jean -Louis Leclercq , Maite Volatier , Abdelatif Jaouad et al.
17th Conference on Concentrated PhotoVoltaïcs System, 2021, Denver (On line), United States. ⟨10.1063/5.0103648⟩
Communication dans un congrès hal-03407479 v1
Image document

Nanostructured surface for extended temperature operating range in concentrator photovoltaic modules

Gavin Forcade , Christopher E Valdivia , Philippe St-Pierre , Arnaud Ritou , Maїté Volatier et al.
16TH INTERNATIONAL CONFERENCE ON CONCENTRATOR PHOTOVOLTAIC SYSTEMS (CPV-16), May 2020, Denver, United States. pp.050002-1 -- 050002-6, ⟨10.1063/5.0032134⟩
Communication dans un congrès hal-03029181 v1

Plasma Treatment For Fluxless Flip-chip Chip-Joining Process

Maxime Godard , Maxime Darnon , Serge Martel , Dominique Drouin
3S&Systems Summit, SEMI, Jan 2020, Dresden, Germany
Communication dans un congrès hal-02463072 v1

Towards miniaturization of concentrated photovoltaics (CPV): impacts on fabrication, performance and robustness of solar cells

P. Albert , G. Hamon , M. Volatier , Y. Deshayes , A. Jaouad et al.
IEEE PVSC Virtual meeting, Jul 2020, Denver, United States
Communication dans un congrès hal-03349761 v1
Image document

Towards miniaturization of concentrated photovoltaics (CPV): impact on fabrication, performance and robustness of solar cells

Pierre Albert , Gwenaelle Hamon , Maite Volatier , Yannick Deshayes , Abdelatif Jaouad et al.
2020 IEEE 47th Photovoltaic Specialists Conference (PVSC), IEEE, Jun 2020, Calgary (virtual), Canada. pp.1268-1273, ⟨10.1109/PVSC45281.2020.9300898⟩
Communication dans un congrès hal-03109711 v1

Improving Short-Circuit Current of Concentrator Solar Cells Using a Deposited Microbead Layer

A. Ritou , P. St-Pierre , G. P. Forcade , O. Dellea , M. Volatier et al.
16th Conference on Concentrated PhotoVoltaïcs Systems, May 2020, Denver, United States
Communication dans un congrès hal-02991197 v1
Image document

Demonstration of Back Contacted III/Ge Triple Junction Solar Cells,

X. Mackre-Delannoy , G. Hamon , M. Volatier , A. Jaouad , V. Aimez et al.
16th Conference on Concentrated PhotoVoltaïcs Systems (CPV16), May 2020, Denver, United States. pp.020005-1 -- 020005-5, ⟨10.1063/5.0033094⟩
Communication dans un congrès hal-02991189 v1

New architectures of Concentrated Photovoltacs (CPV) solar cells

Gwenaelle Hamon , M. De Lafontaine , X. Mackre-Delannoy , M. Volatier , V. Aimez et al.
Photonics North, May 2020, Niagara Falls, Canada
Communication dans un congrès hal-02991177 v1
Image document

PROJECT AMELIZ: PATTERNING TECHNIQUES FOR COPPER ELECTROPLATED METALLIZATION ON HETEROJUNCTION CELLS

Agata Lachowicz , Gaëlle Andreatta , Nicolas Blondiaux , Antonin Faes , Juan J Diaz Leon et al.
European PV Solar Energy Conference & Exhibition, Sep 2020, Paris, France
Communication dans un congrès hal-02991212 v1

Plasma-induced damage during III-V semiconductor patterning for photonic and photovoltaic applications: from characterization to minimization

E. Pargon , M.De Lafontaine , M. Fouchier , C. Petit-Etienne , G. Gay et al.
18th conference on defects recognition, imaging and physics in semiconductors (DRIP XVIII), Sep 2019, Berlin, Germany
Communication dans un congrès hal-02324729 v1

Etch process cleaning to improve wafer to wafer reproducibility

T. Chevolleau , C. Petit-Etienne , Gilles Cunge , Erwine Pargon , L. Vallier et al.
ENRIS 2019 (European Nanofabrication Research Infrastructure Symposium), 2019, UNIVERSITY OF TWENTE, Netherlands
Communication dans un congrès hal-02624143 v1

Patterning Platinum using BEOL industrially compatible processes; Chemical Mechanical Polishing vs. ICP Plasma etching

A Elshear , R Stricher , Serge Ecoffey , Maxime Darnon , Dominique Drouin
44th International Conference on Micro and Nanoengineering, Sep 2019, Copenhage, Denmark
Communication dans un congrès hal-03178730 v1

Plasma processes for High Efficiency Multi-Junction Solar Cells Fabrication

M. Darnon , M. Volatier , P. Albert , M. De Lafontaine , P. St-Pierre et al.
66th International AVS Symposium & Topical Conferences, Oct 2019, Columbus, Ohio, United States
Communication dans un congrès hal-02336676 v1

Micro/nanotechnologies to improve concentrated photovoltaics systems

M. Darnon , M. Volatier , M. De Lafontaine , P. Albert , C. Laucher et al.
Journées nationales sur les technologies émergentes en micro-nano fabrication, (JNTE2019), Nov 2019, Grenoble, France
Communication dans un congrès hal-02916153 v1

High-Voltage Low-Current Multijunction Monolithic Interconnected Microcells

Pierre Albert , Abdelatif Jaouad , Maxime Darnon , Maite Volatier , Yannick Deshayes et al.
15th Conference on Concentrated PhotoVoltaics Systems, Mar 2019, Fes, Morocco
Communication dans un congrès hal-02339963 v1

Improving Temperature-Dependent Energy Output of Concentrator Photovoltaic Systems using Nanotextured Surfaces

Gavin P Forcade , Christopher Valdivia , Philippe St-Pierre , Maxime Darnon , Karin Hinzer
Numerical Simulation of Optoelectronic Devices, Jul 2019, Ottawa, Canada
Communication dans un congrès hal-02339961 v1

Nanostructured Surfaces in Concentrator Photovoltaic Systems To Improve Temperature-Dependent Energy Output

Gavin P Forcade , Christopher Valdivia , Philippe St-Pierre , Maxime Darnon , Karin Hinzer
Canadian Semiconductor Science and Technology Conference, Jul 2019, Saskatoon, Canada
Communication dans un congrès hal-02339959 v1

Passivating Antireflection Coating for Improving Optical Properties of Concentrated Photovoltaics Using PECVD

Philippe St-Pierre , Olivier Richard , Gwenaelle Hamon , Maxime Darnon , Maite Volatier et al.
Numerical Simulation of Optoelectronic Devices, Jul 2019, Ottawa, Canada
Communication dans un congrès hal-02339960 v1

Area downsizing effects on electrical performance and robustness of triple junction solar cells for CPV applications

Pierre Albert, , Abdelatif Jaouad , Maite Volatier , Christopher E. Valdivia , Yannick Deshayes et al.
International Symposium on Reliability of Optoelectronics System, Nov 2019, Toulouse, France
Communication dans un congrès hal-02443117 v1

High Aspect Ratio and Low Damage III-V/Ge Heterostructure Via Etching

M. De Lafontaine , G. Gay , E. Pargon , C. Petit-Etienne , N. Rochat et al.
Plasma Etch and Strip in Microelectronics (PESM), 11th International Workshop, May 2019, Grenoble, France
Communication dans un congrès hal-02324782 v1

Solar Cell with Light-Induced Plated Front Emitter Contact

Clement Laucher , Gwenaelle Hamon , Artur Turala , Etienne Paradis , Maite Volatier et al.
15th Conference on Concentrated PhotoVoltaics Systems, Mar 2019, Fes, Morocco
Communication dans un congrès hal-02339964 v1

Impact of plasma etching process exposure on the integrity of AlN and AlGaN layers integrated in GaN heterojunction transistors (HEMTs)

Fesiienko Oleh , Erwine Pargon , Hassan Maher , Camille Petit-Etienne , Ali Soltani et al.
Journées nationales sur les technologies émergentes en micro-nano fabrication, (JNTE2019), Nov 2019, Grenoble, France
Communication dans un congrès hal-02916150 v1

Modeling the Incorporation of Microbeads into Concentrator Photovoltaic Systems

Gavin P Forcade , Christopher Valdivia , Philippe St-Pierre , Maxime Darnon , Karin Hinzer
IEEE Photonics North, May 2019, Quebec, Canada. ⟨10.1109/PN.2019.8819537⟩
Communication dans un congrès hal-02339962 v1

Patterning Platinum using CMP and plasma etching industrially compatible processes

A Elshaer , R Stricher , M. Darnon , D. Drouin , S. Ecoffey
45th International Conference on Micro and Nano Engineering MNE, Aug 2019, Rhodes, Greece
Communication dans un congrès hal-02320605 v1

III-V/Ge Heterostructure Etching for Through Cell Via Contact Multijunction Solar Cellc

M. de Lafontaine , G. Gay , C. Petit-Etienne , E. Pargon , M. Darnon et al.
65th International AVS Symposium & Topical Conferences, Oct 2018, Long Beach, California, USA, United States
Communication dans un congrès hal-01959003 v1

Dual technological procedure for multijunction solar cell : InGaAs subcell Epitaxial Lift-Off combined with InP wafer recycling

Francois Chancerel , Philippe Regreny , Jean -Louis Leclercq , Abdelatif Jaouad , M. Darnon et al.
14th International Conference on Concentrator Photovoltaic Systems CPV'14, Apr 2018, Puertollano, Spain
Communication dans un congrès hal-01963993 v1

III-V/Ge Heterostructure Etching for Through Cell Via Contact Multijunction Solar Cell

M. De Lafontaine , G. Gay , C. Petit-Etienne , E. Pargon , M. Darnon et al.
65th International AVS Symposium & Topical Conferences, Oct 2018, Long Beach, United States
Communication dans un congrès hal-02324762 v1

Interaction of humidity with plasma-damaged porous low-k

Maxime Darnon , Névine Rochat , Christophe Licitra , Thierry Chevolleau , Romain Hurand
28th Advanced Metallization Conference (ADMETA), Oct 2018, Beijing, China
Communication dans un congrès hal-02338098 v1

Front-Contacted Multijunction Micro Solar Cells: Fabrication & Characterization

Pierre Albert , Abdelatif Jaouad , Maxime Darnon , Christopher Valdivia , Maite Volatier et al.
14th International Conference on Concentrated PhotoVoltaics Systems, Apr 2018, 2018-04-01, Spain
Communication dans un congrès hal-02339965 v1

Dense array CPV receivers: Impact of the cooling device on the net PV output for different illumination profiles

Gerard Laguna , Montse Vilarrubí , Álvaro F Fernández , Gonzalo Sisó , Joan Rosell et al.
14TH INTERNATIONAL CONFERENCE ON CONCENTRATOR PHOTOVOLTAIC SYSTEMS (CPV-14), Apr 2018, Puertollano, Spain. pp.080008, ⟨10.1063/1.5053536⟩
Communication dans un congrès hal-01877143 v1

Plasma Treatment for Fluxless Flip-Chip Chip-Joining Process

Maxime Godard , Maxime Darnon , Serge Martel , Clément Fortin , Dominique A Drouin
2018 IEEE 68th Electronic Components and Technology Conference (ECTC), May 2018, San Diego, United States. ⟨10.1109/ECTC.2018.00069⟩
Communication dans un congrès hal-01870584 v1

III-V/Ge multijunction solar cell with Through Cell Vias Contacts fabrication

Mathieu de Lafontaine , Clement Laucher , Maxime Darnon , Abdelatif Jaouad , Maite Volatier et al.
14th International Conference on Concentrated PhotoVoltaics Systems, Apr 2018, Puertollano, Spain
Communication dans un congrès hal-02339966 v1

Permanent bonding process for III-V/Ge multijunction solar cell integration

Clément Laucher , Maxime Darnon , Clément Colin , Mathieu de Lafontaine , Franck Melul et al.
14TH INTERNATIONAL CONFERENCE ON CONCENTRATOR PHOTOVOLTAIC SYSTEMS (CPV-14), Apr 2018, Puertollano, Spain. pp.090004, ⟨10.1063/1.5053542⟩
Communication dans un congrès hal-01877145 v1

Microfabrication of multi junction solar cells for concentrated photovoltaics application

Maxime Darnon , Colin Clement , Maité Volatier , Pierre Albert , Mathieu De Lafontaine et al.
European Advanced Energy Materials Congress, Mar 2018, Stockholm, Sweden
Communication dans un congrès hal-02338105 v1

Via sidewall insulation for through cell via contacts

Mathieu de Lafontaine , Abdelatif Jaouad , Maxime Darnon , Maité Volatier , Richard A Arès et al.
13TH INTERNATIONAL CONFERENCE ON CONCENTRATOR PHOTOVOLTAIC SYSTEMS (CPV-13), May 2017, Ottawa, Canada. pp.040002, ⟨10.1063/1.5001424⟩
Communication dans un congrès hal-02074429 v1

Epitaxial lift-off of low band gap subcells for multijunction solar cell devices

F. Chancerel , P. Regreny , J.L. Leclercq , A. Jaouad , M. Darnon et al.
C’Nano meetings, Dec 2017, Lyon, France
Communication dans un congrès hal-02097245 v1

Development and Validation of Key Microfabrication Processes for Through Cell Via Contacts Multi-Junction Solar Cell

Mathieu de Lafontaine , Maxime Darnon , Jaouad, Abdelatif , C Colin , Maite Volatier et al.
13th International Conference on Concentrator Photovoltaic Systems (CPV-13), May 2017, Ottawa, Canada
Communication dans un congrès hal-02453664 v1

CPV Research at Universite de Sherbrooke: from materials to systems

Maite Volatier , Abdelatif Jaouad , Maxime Darnon , Abderraouf Boucherif , Richard Ares et al.
13th International Conference on Concentrated PhotoVoltaics Systems, May 2017, Ottawa, Canada
Communication dans un congrès hal-02339968 v1

The handling of thin substrates and its potential for new architectures in multi-junction solar cells technology

Clement Colin , Abdelatif Jaouad , Maxime Darnon , Mathieu de Lafontaine , Maité Volatier et al.
13TH INTERNATIONAL CONFERENCE ON CONCENTRATOR PHOTOVOLTAIC SYSTEMS (CPV-13), May 2017, Ottawa, Canada. pp.040001, ⟨10.1063/1.5001423⟩
Communication dans un congrès hal-02074425 v1

Technological developments of epitaxial lift-off process over InP substrates

F. Chancerel , P. Regreny , J.L. Leclercq , A. Jaouad , M. Darnon et al.
Journées nationales sur les technologies émergentes en micro nanofabrication (JNTE 2017), Nov 2017, Orléans, France
Communication dans un congrès hal-02073141 v1

Submillimeter Multijunction Solar Cells: Impact of Dimension, Design and Architecture on Electrical Performances

Pierre Albert , Abdelatif Jaouad , Maxime Darnon , Yannick Deshayes , Laurent Bechou et al.
13th International conference on Concentrator Photovoltaic Systems (CPV 13), May 2017, Otawa, Canada
Communication dans un congrès hal-01716137 v1

Micro/Nanotechnologies for high efficiency solar cells fabrication

Maxime Darnon , Abdelatif Jaouad , Maité Volatier , Mathieu De Lafontaine , Clément Colin et al.
EMN Meeting on Optoelectronics, Apr 2017, Victoria, Canada
Communication dans un congrès hal-02097240 v1

Anisotropic and Low Damage III-V/Ge Heterostructures via Etching for Multijunction Photovoltaic Cell Fabrication

M. De Lafontaine , M. Darnon , E. Pargon , A. Jaouad , M. Volatier et al.
Plasma Etch and Strip in Microelectronics (PESM), 10th International Workshop, Oct 2017, leuven, Belgium
Communication dans un congrès hal-01929191 v1

Plasma etching for III-V/Ge multijunction solar cells singulation

M. Darnon , A. Jaouad , M. De Lafontaine , J. Belin , B. Bouzazi et al.
12th International Conference on Concentrator Photovoltaic Systems (CPV-12) (25–27 April 2016,, Apr 2016, Freiburg, Germany
Communication dans un congrès hal-02097315 v1

Can plasma etching be used in photovoltaics?

Maxime Darnon , Abdelatif Jaouad , Mathieu de Lafontaine , Pierre Albert , Clement Colin et al.
Plasma Etch and Strip in Microtechnologies conference, May 2016, Grenoble, France
Communication dans un congrès hal-02339970 v1

Through Cell Via Contacts: Impact of Hole Integration on Multi-Junction Solar Cell and Associated Surface Treatments

M. De Lafontaine , M. Darnon , A. Jaouad , B. Bouzazi , C. Collin et al.
12th International Conference on Concentrator Photovoltaic Systems (CPV-12), Apr 2016, Freiburg, Germany
Communication dans un congrès hal-02097309 v1

Substrate Handling Strategies Thinned Multi-junction Solar-cell Wafers.

C. Colin , A. Jaouad , M. Darnon , M. De Lafontaine , M. Volatier et al.
12th International Conference on Concentrator Photovoltaic Systems (CPV-12), Apr 2016, Freiburg, Germany
Communication dans un congrès hal-02097312 v1

Plasma Etching for High Efficiency Solar Cells Fabrication

Maxime Darnon , Jaouad, Abdelatif , Mathieu De Lafontaine , Colin Clément , Boussairi Bouzazi et al.
China Semiconductor Technology International Conference (CSTIC) 2016, Mar 2016, Shanghai, China
Communication dans un congrès hal-02338109 v1

Plasma etching applications in concentrated photovoltaic cell fabrication

Mathieu de Lafontaine , Maxime Darnon , Abdelatif Jaouad , Pierre Albert , Boussairi Bouzazi et al.
12th International Conference on Concentrator Photovoltaic Systems (CPV-12), May 2016, Freibourg, Germany. pp.060001, ⟨10.1063/1.4962091⟩
Communication dans un congrès hal-02074637 v1

GaP/c-Si heterojunction solar cell processing: understanding and recovery of bulk degradation

Mederic Descazeaux , Maxime Darnon , Mickael Martin , J Moeyaert , Delfina Munoz et al.
6th international conference on crystalline silicon PV, Mar 2016, Chambery, France
Communication dans un congrès hal-02339973 v1

Plasma etching for high efficiency solar cells fabrication

Maxime Darnon , Abdelatif Jaouad , Mathieu de Lafontaine , Pierre Albert , Clement Colin et al.
CMOS Emerging Technologies Research, May 2016, Montreal, Canada
Communication dans un congrès hal-02339969 v1

Roughness generation during Si etching in Cl2 pulsed plasmas

Odile Mourey , Camille Petit-Etienne , Gilles Cunge , Maxime Darnon , Emilie Despiau-Pujo et al.
Plasma Etch and Strip in Microtechnologies conference, May 2016, Grenoble, France
Communication dans un congrès hal-02339971 v1

Velocity distribution function of ions through high-aspect ratio holes in Inductively-Coupled Plasma reactor

Nader Sadeghi , Gilles Cunge , Maxime Darnon , Camille Petit-Etienne , Jerome Dubois et al.
Plasma Etch and Strip in Microtechnologies conference, May 2016, Grenoble, France
Communication dans un congrès hal-02339972 v1

Microtechnologies for high efficiency solar cells

Maxime Darnon , Abdelatif Jaouad , Mathieu de Lafontaine , Clement Colin , Olivier Richard et al.
2016 Photonics North (PN), May 2016, Quebec City, Canada. pp.1-2, ⟨10.1109/PN.2016.7537907⟩
Communication dans un congrès hal-02074627 v1
Image document

Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach

E. Dupuy , E. Pargon , M. Fouchier , H. Grampeix , J. Pradelles et al.
SPIE Advanced Lithography, SPIE, 2015, San Jose, CA, United States. pp.94280B, ⟨10.1117/12.2085812⟩
Communication dans un congrès hal-01869175 v1

Producing ion waves from acoustic pressure waves in pulsed ICP: Modelling vs. Experiments

E. Despiau-Pujo , G. Cunge , M Darnon , N. Sadeghi , N Braithwaite
68th Gaseous Electronics Conference (GEC), Oct 2015, Honolulu, United States
Communication dans un congrès hal-01878115 v1

GaP/Si heterojunction solar cells: an alternative to amorphous silicon?

Mederic Descazeaux , Maxime Darnon , Renaud Varache , Thomas Quinci , Mickael Martin et al.
6th Photovoltaic Technical Conference (PVTC 2015), May 2015, Aix en Provence, France
Communication dans un congrès hal-02339974 v1

Diagnostics in pulsed hydrogen plasmas

Jerome Dubois , Gilles Cunge , Maxime Darnon , Nicolas Posseme , Laurent Vallier et al.
11th Frontiers in low temperature plasma diagnostics, May 2015, Hyeres, France
Communication dans un congrès hal-02339976 v1

Porous Materials Characterization by Infrared Spectroscopy

Maxime Darnon , Christophe Licitra , Mathieu Foucaud , Philippe Garnier , Cyril Hochard et al.
CMOS Emerging Technologies Research, May 2015, Vancouver, Canada
Communication dans un congrès hal-02338119 v1

Post-porosity Plasma protection (P4): a promising strategy against v-UV damage in porous, low-k materials

Kristel Lionti , Willy Volksen , Maxime Darnon , Teddy Magbitang , Geraud Dubois
Plasma Etch and Strip in Microtechnologies conference, May 2015, Leuven, Belgium
Communication dans un congrès hal-02339977 v1

Measuring IVDF through high−aspect holes in pulsed ICP plasmas

G. Cunge. , M. Darnon. , J Dubois. , P Bezard. , O Mourey. et al.
68th GEC / ICRP−9, Oct 2015, Honolulu, United States
Communication dans un congrès hal-01878113 v1

RFEA analyzers to measure IVDF through high−aspect holes in pulsed ICP plasmas

G. Cunge. , M. Darnon. , J Dubois. , P Bezard. , O Mourey. et al.
Frontiers in Low Temperature Plasma Diagnostics XI, 2015, Porquerolles, France
Communication dans un congrès hal-01878109 v1

Challenges of contact etching for 14FDSOI technology

Mokrane Mebarki , Maxime Darnon , Cecile Jenny , Nicolas Posseme , Delia Ristoiu et al.
SPIE-AL, Feb 2015, San Jose, United States
Communication dans un congrès hal-02339980 v1

Measuring IVDF through high-aspect holes in pulsed ICP plasma

G. Cunge , M Darnon , J Dubois , P. Bézard , O. Mourey et al.
68th Gaseous Electronics Conference (GEC), Oct 2015, Honolulu, United States
Communication dans un congrès hal-01878046 v1

Multi-junction Solar Cells with Through Substrate Vias Contacts

Maxime Darnon , Maite Volatier , Olivier Richard , Jeoffrey Belin , Mathieu de Lafontaine et al.
Next Generation PhotoVoltaics Canada, May 2015, Toronto, Canada
Communication dans un congrès hal-02339975 v1

Measuring IVDF through high−aspect holes in ICP plasmas

G. Cunge. , M. Darnon. , J Dubois. , P Bezard. , O Mourey. et al.
PESM 2015 (Plasma Etch and Strip in Microtechnology), 2015, Louvain, Belgium
Communication dans un congrès hal-01878112 v1

Solar Cell with Gallium Phosphide / Silicon Heterojunction

Maxime Darnon , Renaud Varache , Médéric Descazeaux , Thomas Quincy , Mickael Martin et al.
CMOS Emerging Technologies Research, May 2015, Vancouver, Canada
Communication dans un congrès hal-02338111 v1
Image document

Evolution of Bulk c-Si Properties during the Processing of GaP/c-Si Heterojunction Cell

Renaud Varache , Maxime Darnon , Mederic Descazeaux , Thomas Quinci , Mickael Martin et al.
5th International Conference on Silicon Photovoltaics (Silicon PV 2015), Mar 2015, Konstanz, Germany. pp.493-499, ⟨10.1016/j.egypro.2015.07.070⟩
Communication dans un congrès hal-02339979 v1

Solar cells with gallium phosphide/silicon heterojunction

Maxime Darnon , Renaud Varache , Médéric Descazeaux , Thomas Quinci , Mickaël Martin et al.
11th International Conference on Concentrator Photovoltaic Systems (CPV-11), May 2015, Aix-les-Bains, France. pp.040003, ⟨10.1063/1.4931514⟩
Communication dans un congrès hal-02338417 v1

Producing ion waves from acoustic pressure waves in pulsed inductive plasmas

Emilie Despiau-Pujo , Gilles Cunge , Maxime Darnon , Nader Sadeghi , Nicholas Braithwaite
Plasma Etch and Strip in Microelectronics (PESM), May 2014, Grenoble, France
Communication dans un congrès hal-01798411 v1

Optical and electrical diagnostics in chlorine based pulsed plasmas of an industrial silicon etching reactor

Nader Sadeghi , Gilles Cunge , Maxime Darnon , Emilie Despiau-Pujo , Nicholas St J Braithwaite
1st International Middle-East Plasma Science, Turkey, Apr 2014, Istambul, Turkey
Communication dans un congrès hal-02338122 v1

MD simulations of chlorine plasmas interaction with ultrathin Si films for advanced etch processes

P. Brichon , E. Despiau-Pujo , O Mourey , C. Petit-Etienne , M Darnon et al.
2014 Silicon Nanoelectronics Workshop (SNW), Jun 2014, Honolulu (USA), United States
Communication dans un congrès hal-01798393 v1

Pulsed Cl2/Ar ICP plasmas processing : 0D Model vs. Experiments

E. Despiau-Pujo , M. Brihoum , G. Cunge , M Darnon , N Braithwaite et al.
Plasma Etch and Strip in Microelectronics (PESM), May 2014, grenoble, France
Communication dans un congrès hal-01798407 v1

Plasma Damage of Porous Low-k Materials

Maxime Darnon , Thierry Chevolleau , Romain Hurand , David Fuard , Régis Bouyssou et al.
Material Research Society Spring Meeting, Apr 2014, San Francisco, United States
Communication dans un congrès hal-02338124 v1

Reactor wall plasma cleaning processes after InP etching in Cl2/CH4/Ar ICP discharge

C. Chanson , E. Pargon , M. Darnon , C. Petit Etienne , M. Foucher et al.
Plasma Etch and Strip in Microelectronics (PESM), 7th International Workshop, May 2014, grenoble, France
Communication dans un congrès hal-01798099 v1

MD simulations of chlorine plasmas interaction with ultrathin silicon films for advanced etch processes.

P. Brichon , E. Despiau-Pujo , O Mourey , C. Petit-Etienne , G. Cunge et al.
Plasma Etch and Strip in Microelectronics (PESM), 6th International Workshop, May 2014, grenoble, France
Communication dans un congrès hal-01798524 v1

Prospects for dielectric constant reduction in integrated circuits interconnects

Maxime Darnon , Nicolas Posseme , Thierry Chevolleau , Thibaut David
Materials Research Society Spring Meeting, Jun 2014, San Francisco, United States. pp.Mrss14-1692-cc01-01, ⟨10.1557/opl.2014.609⟩
Communication dans un congrès hal-02338418 v1

Silicon etching using CW, synchronized pulsed and bias pulsed Cl2 plasma

Odile Mourey , C. Petit-Etienne , G. Cunge , M. Darnon , E. Despiau-Pujo et al.
AVS 2014, , 2014, Baltimore, United States
Communication dans un congrès hal-01798348 v1

Diagnostics in Pulsed Hydrogen Plasmas

Jerome Dubois , Gilles Cunge , Nicolas Posseme , Maxime Darnon , Laurent Vallier et al.
AVS 61st international symposium, Oct 2014, Baltimore, United States
Communication dans un congrès hal-02339983 v1

Pulsed ICP plasmas processing: 0D Model vs. Experiments

E. Despiau-Pujo , M. Brihoum , G. Cunge , M Darnon , N Braithwaite et al.
Plasma Etch and Strip in Microelectronics (PESM), 6th International Workshop, May 2014, grenoble, France
Communication dans un congrès hal-01798532 v1

Selective dry etching of titanium nitride nanostructures with chlorine-based inductively coupled plasma

Bruno Lee Sang , Marie-Josee Gour , Maxime Darnon , Serge Ecoffey , Abdelatif Jaouad et al.
40th Micro and Nano Engineering Conference, Sep 2014, Lausanne, Switzerland
Communication dans un congrès hal-02339986 v1

MD simulations of Cl2 plasmas interaction with ultrathin Si films for advanced etch processes”

P. Brichon , E. Despiau-Pujo , O Mourey , C. Petit-Etienne , G. Cunge et al.
Plasma Etch and Strip in Microelectronics (PESM), May 2014, Grenoble (France), France
Communication dans un congrès hal-01798396 v1

Pulsed Plasmas for etching in micro and nanoelectronics

Maxime Darnon , Gilles Cunge , Camille Petit-Etienne , Moritz Haass , Paul Bodart et al.
Plasma Nanoscience Conference, Mar 2014, Dublin, Ireland
Communication dans un congrès hal-02338129 v1

Diagnostics of Pulsed Hydrogen Plasmas

Jerome Dubois , Gilles Cunge , Olivier Joubert , Maxime Darnon , Laurent Vallier et al.
67th Gaseous Electronic Conference, Oct 2014, Raleigh, United States
Communication dans un congrès hal-02339984 v1

Role of the mask on contact etching at the 20 nm node

Mokrane Mebarki , Maxime Darnon , Cecile Jenny , Delia Ristoiu , Nicolas Posseme et al.
Plasma Etch and Strip in Microtechnologies conference, May 2014, Grenoble, France
Communication dans un congrès hal-02339987 v1

Inductively coupled plasma etching of ultra-shallow Si3N4 and SiO2 nanostructures

Bruno Lee Sang , Abdelatif Jaouad , Serge Ecoffey , Maxime Darnon , Marie-Josee Gour et al.
40th Micro and Nano Engineering Conference, Sep 2014, Lausanne, Switzerland
Communication dans un congrès hal-02339985 v1

Interaction between the plasma & mask materials during the contact etching for 14FDSOI

Mokrane Mebarki , Maxime Darnon , Cecile Jenny , Nicolas Posseme , Delia Ristoiu et al.
AVS 61st international symposium, Oct 2014, Baltimore, United States
Communication dans un congrès hal-02339981 v1

Pulsed ICP plasmas processing : A combined modelling and experimental study

E. Despiau-Pujo , M. Brihoum , G. Cunge , Maxime Darnon , N.S. Braithwaite et al.
31st International Conference on Phenomena in Ionized Gases (ICPIG), Jul 2013, Granada, Spain
Communication dans un congrès hal-00925794 v1

Pulsed plasmas for etching in microelectronics

Maxime Darnon , G. Cunge , C. Petit-Etienne , M. Haass , P. Bodart et al.
Journées du réseau plasma froids, 2013, La Rochelle, France
Communication dans un congrès hal-00925769 v1

Time-resolved Optical and Electrical Diagnostics of Pulsed Plasmas Etching

G. Cunge , M. Brihoum , Maxime Darnon , E. Despiau-Pujo , A. Davydova et al.
American Vacuum Society 60th International Symposium, Oct 2013, Long beach, United States
Communication dans un congrès hal-00904432 v1

Optical and Electrical Diagnostics of Pulsed Plasmas Etching Processes

Gilles Cunge , Maxime Darnon , Camille Petit-Etienne , Paulin Brichon , Romuald Blanc et al.
11th Technological Plasma Workshop, Dec 2013, York, United Kingdom
Communication dans un congrès hal-02338133 v1

Pulsed plasmas for etching at the nanoscale

Maxime Darnon , G. Cunge , C. Petit Etienne , E. Pargon , L. Vallier et al.
Journées Nationales des Technologies Émergentes, May 2013, Evian les bains, France
Communication dans un congrès hal-00860922 v1

Pulsed ICP chlorine plasmas : Numerical simulations versus Experiments

E. Despiau-Pujo , M. Brihoum , G. Cunge , Maxime Darnon , N.S. Braithwaite et al.
4th Workshop on Radio Frequency Discharges, May 2013, giens, France
Communication dans un congrès hal-00860934 v1

Pulsed plasma processing: A combined modelling and experimental study

E. Despiau-Pujo , M. Brihoum , G. Cunge , Maxime Darnon , N. Braithwaite et al.
31st International Conference on Phenomena in Ionized Gases (ICPIG), Jul 2013, Granada, Spain
Communication dans un congrès hal-00904428 v1

MD simulations of chlorine plasmas interaction with ultrathin silicon films for advanced etch processes

P. Brichon , E. Despiau-Pujo , G. Cunge , Maxime Darnon , O. Joubert
AVS 2013, Oct 2013, Long beach, United States
Communication dans un congrès hal-00919179 v1
Image document

Characterization of silicon etching in synchronized pulsed plasma

Maxime Darnon , M. Haass , G. Cunge , O. Joubert , S. Banna
SPIE Advanced Lithography, 2013, San Jose, United States. ⟨10.1117/12.2011462⟩
Communication dans un congrès hal-00860917 v1

Si3N4 spacers etching in synchronized pulsed CH3F/O2/He/SiF4 plasmas

R. Blanc , Maxime Darnon , G. Cunge , E. Latu-Romain , F. Leverd et al.
AVS 60h international symposium, Oct 2013, Long Beach, United States
Communication dans un congrès hal-00925775 v1

Optical and Electrical Diagnostics of Pulsed Plasmas Etching Processes

G. Cunge , Maxime Darnon , M. Brihoum , E. Despiau-Pujo , A. Davydova et al.
AVS 60h international symposium, Oct 2013, Long Beach, United States
Communication dans un congrès hal-00925763 v1

Pulsed plasmas: from plasma parameters to pattern transfer

Maxime Darnon , M. Haass , M. Brihoum , G. Cunge , S. Banna et al.
Plasma Etch and Strip in Microtechnologies conference, 2013, leuven, Belgium
Communication dans un congrès hal-00860925 v1

MD Simulations of Pulsed Chlorine Plasmas Interaction with Ultrathin Silicon Films for Advanced Etch Processes

P. Brichon , E. Despiau-Pujo , G. Cunge , Maxime Darnon , O. Joubert
American Vacuum Society 60th International Symposium, Oct 2013, Long beach, United States
Communication dans un congrès hal-00904431 v1

Analysis of Passivation Layer Composition and Thickness on Silicon Patterns Etched by Synchronously Pulsed Plasmas

M. Haass , Maxime Darnon , E. Pargon , C. Petit-Etienne , L. Vallier et al.
5th Plasma Etch and Strip in Microelectronics Workshop (PESM), Mar 2012, Grenoble, France
Communication dans un congrès hal-00755594 v1

Self-assembly patterning using block copolymer for advanced CMOS technology: optimisation of plasma etching process

T. Chevolleau , G. Cunge , M. Delalande , X. Chevalier , R. Tiron et al.
SPIE, 2012, United States. Volume: 8328 Pages: 83280M (6 pp.), ⟨10.1117/12.91639⟩
Communication dans un congrès hal-00808850 v1

Towards new plasma technologies for 22 nm gate etch processes and beyond

O. Joubert , Maxime Darnon , G. Cunge , E. Pargon , T. David et al.
SPIE Advanced Lithography, 2012, San Jose, United States. pp.83280D, ⟨10.1117/12.920312⟩
Communication dans un congrès hal-00808682 v1

Time resolved ion flux measurement in pulsed ICP plasmas

G. Cunge , Maxime Darnon , N. Braithwaite , E. Despiau-Pujo , P. Bodart et al.
Tampa, Oct 2012, Tampa, United States
Communication dans un congrès hal-00762123 v1

Investigation of synchronized pulsed plasmas for highly selective etching of Si3N4 spacers

R. Blanc , F. Leverd , Maxime Darnon , S. David , T. David et al.
Pacific Rim Meeting of the Electrochemical and Solid State Society (PRiME), 2012, Hawai, United States
Communication dans un congrès hal-00808854 v1

Self-assembly patterning using block copolymer for advanced CMOS technology: optimisation of plasma etching process

T. Chevolleau , G. Cunge , M. Delalande , X. Chevalier , R. Tiron et al.
SPIE-AL, 2012, San Jose, CA, United States
Communication dans un congrès hal-00808863 v1

SiCl4/Cl2 plasmas: a new chemistry to etch high-k material selectively to Si-based Alloys

P. Bodart , G. Cunge , C. Petit-Etienne , Maxime Darnon , M. Haass et al.
Plasma Etch and Strip in Microelectronics conference, 2012, Grenoble, France
Communication dans un congrès hal-00808860 v1

Challenges related to linewidth roughness

Erwine Pargon , Laurent Azarnouch , Kevin Menguelti , Marc Fouchier , Melisa Brihoum et al.
China Semiconductor Technology International Conference (CSTIC), Mar 2012, Shanghai, China
Communication dans un congrès hal-02338405 v1

Self assembly patterning using block copolymer for advanced CMOS technology

T. Chevolleau , G. Cunge , X. Chevalier , R. Tiron , Maxime Darnon et al.
Plasma Etch and Strip in Microelectronics conference, 2012, Grenoble, France
Communication dans un congrès hal-00808857 v1

Plasma Etching for Back End Of Line Applications

Maxime Darnon , T. Chevolleau , T. David , N. Posseme , R. Bouyssou et al.
China Semiconductor Technology International Conference (CSTIC), Mar 2012, Shanghaï, China
Communication dans un congrès hal-00808862 v1

Time-resolved diagnostics of reactive pulsed plasmas by absorption spectroscopy, modulated beam Mass spectrometry, ion flux probes and RFEA analyzers

G. Cunge , P. Bodart , M. Brihoum , M. Haas , Maxime Darnon et al.
First Pulsed Plasma Diagnostics Workshop (PPDW), 2012, dublin, Ireland
Communication dans un congrès hal-00808856 v1

Plasmas Processes Challenges for Porous SiCOH Integration in Advanced Interconnects

T. Chevolleau , Maxime Darnon , N. Posseme , T. David , R. Bouyssou et al.
Materials Research Society spring meeting, Apr 2012, San francisco, United States
Communication dans un congrès hal-00808861 v1

Towards new plasma technologies for 22nm gate etch processes and beyond

O. Joubert , Maxime Darnon , G. Cunge , E. Pargon , T. David et al.
SPIE-AL, 2012, San Jose, CA, United States
Communication dans un congrès hal-00808670 v1

Self assembly patterning using block copolymer for advanced CMOS Technology : optimisation of plasma etching processes

T. Chevolleau , G. Cunge , M. Delalande , X. Chevalier , R. Tiron et al.
SPIE, 2012, United States. pp.83280M, ⟨10.1117/12.916399⟩
Communication dans un congrès hal-00944979 v1

Low-k integration using metallic hard masks

O. Joubert , N. Posseme , T. Chevolleau , T. David , Maxime Darnon
UCPSS, 2012, Ghent, Belgium. pp.195, 193-195, ⟨10.4028/www.scientific.net/SSP.187.193⟩
Communication dans un congrès hal-00925747 v1

Time-resolved diagnostics of pulsed plasma etching processes

G. Cunge , P. Bodart , M. Brihoum , M. Haas , Maxime Darnon et al.
1st pulsed plasma diagnostic workshop, Sep 2012, Dublin, Ireland
Communication dans un congrès hal-00808669 v1

Characterization of Plasma-Induced Damages on low-k during Interconnection Integration by Scatterometric Porosimetry

R. Hurand , Maxime Darnon , T. Chevolleau , D. Fuard , F. Bailly et al.
AVS 58h international symposium, Oct 2011, Nashville, United States
Communication dans un congrès hal-00647640 v1

Time-resolved diagnostics of pulsed plasmas by UV and VUV absorption spectroscopy and by modulated beam Mass spectrometry

G. Cunge , P. Bodart , M. Brihoum , M. Fouchier , Maxime Darnon et al.
64th Gaseous Electronic Conference, 2011, Salt lake city, United States
Communication dans un congrès hal-00647602 v1

Etch processes with pulsed plasmas for advanced CMOS technologies

Maxime Darnon , P. Bodart , M. Haass , C. Petit-Etienne , M. Brihoum et al.
3rd International Conference on Microelectronics and Plasma Technology, Jul 2011, Dalian, China
Communication dans un congrès hal-00647643 v1

Time-Modulation of High density Plasmas for Advanced Dry Etching Processes

S. Banna , A. Agarwal , T. Lill , Maxime Darnon , G. Cunge et al.
4th Plasma Etch and Strip in Microelectronics Workshop, May 2011, Mechelen, Belgium
Communication dans un congrès hal-00647608 v1

Scatterometric Porosimetry for porous low-k patterns characterization

R. Hurand , R. Bouyssou , Maxime Darnon , C. Tiphine , C. Licitra et al.
Interconnect Technology Conference and Materials for Advanced Metallization (IITC/MAM), May 2011, Dresde, Germany
Communication dans un congrès hal-00647417 v1

Porous SiOCH integration: Etch challenges with a trench first metal hard mask approach

Nicolas Possémé , Thibaut David , Thierry Chevolleau , Maxime Darnon , Philippe Brun et al.
Chinese Semiconductor Technology International Conference (CSTIC), Mar 2011, Shanghaï, China. pp.389-394, ⟨10.1149/1.3567609⟩
Communication dans un congrès hal-00625347 v1

Interest of synchronized pulsed plasmas for next CMOS technologies

O. Joubert , Maxime Darnon , G. Cunge , E. Pargon , L. Vallier et al.
China Semiconductor Technology International Conference (CSTIC) 2011, Mar 2011, Shanghaï, China
Communication dans un congrès hal-00625345 v1

Angstrom Level Resolution Etch

T. Lill , O. Joubert , Maxime Darnon , S. Banna , A. Agarwal
China Semiconductor Technology International Conference (CSTIC), Mar 2011, Shanghaï, China
Communication dans un congrès hal-00625350 v1

Porous SiCOH Patterning for Advanced Interconnects: Challenges and Solutions

N. Posseme , T. David , T. Chevolleau , Maxime Darnon , F. Bailly et al.
Electrochem. 219th Soc. Meeting, 2011, Montreal, Canada
Communication dans un congrès hal-00625357 v1

HfO2 etching by pulsed BCl3/Ar plasma

P. Bodart , C. Petit-Etienne , G. Cunge , F. Boulard , L. Vallier et al.
4th PESM workshop, May 2011, Belgium
Communication dans un congrès hal-00641438 v1

Low k Integration Using Metallic Hard Masks

O. Joubert , N. Possémé , T. David , T. Chevolleau , Maxime Darnon
Materials Research Society spring meeting (MRS) 2011, Apr 2011, San Francisco, United States
Communication dans un congrès hal-00647612 v1

Time-resolved diagnostics of pulsed HBr and Cl2 plasmas

G. Cunge , P. Bodart , M. Brihoum , M. Fouchier , Maxime Darnon et al.
64rd Gaseous Electronics Conference, Nov 2011, Salt Lake City, United States
Communication dans un congrès hal-00944932 v1

Challenges of porous SiCOH dielectric material integration for advanced interconnect technology nodes

Maxime Darnon , T. Chevolleau , N. Possémé , T. David , O. Joubert
CMOS Emerging Technologies, Jun 2011, Whistler, Canada
Communication dans un congrès hal-00647646 v1

Impact of Synchronized Plasma Pulsing Technologies on Key Parameters Governing STI Etch Processes

M. Haass , Maxime Darnon , G. Cunge , P. Bodart , C. Petit-Etienne et al.
AVS 58h international symposium, Oct 2011, Nashville, United States
Communication dans un congrès hal-00647636 v1

Diagnostics of reactive pulsed plasmas by UV and VUV absorption spectroscopy and by modulated beam Mass spectrometry

G. Cunge , P. Bodart , M. Brihoum , M. Fouchier , Maxime Darnon et al.
IX workshop on frontiers in low temperature plasma diagnostics, 2011, zinowitz, Germany
Communication dans un congrès hal-00641436 v1

HfO2 Etching by Pulsed BCl3/Ar Plasma

Paul Bodart , Camille Petit-Etienne , Gilles Cunge , Francois Boulard , Maxime Darnon et al.
AVS 58h international symposium, Oct 2011, Nashville, United States
Communication dans un congrès hal-02339988 v1

Characterizing Plasma Induced Damage to Ultra Low-k

Maxime Darnon , T. Chevolleau , T. David , N. Posseme , R. Bouyssou et al.
China Semiconductor Technology International Conference (CSTIC), Mar 2011, Shanghaï, China
Communication dans un congrès hal-00625356 v1

Impact of ambient atmosphere on plasma-damaged porous low-k characterization

Maxime Darnon , T. Chevolleau , T. David , N. Posseme , R. Bouyssou et al.
IEEE International Interconnect Technology Conference / Materials for Advanced Metallization, May 2011, Dresde, Germany
Communication dans un congrès hal-00647635 v1

Improving Etch Processes by Using Pulsed Plasmas

Maxime Darnon , M. Haass , P. Bodart , G. Cunge , C. Petit-Etienne et al.
AVS 58h international symposium, Oct 2011, Nashville, United States
Communication dans un congrès hal-00647630 v1

Characterizing plasma-damaged porous low-k

Maxime Darnon , T. Chevolleau , T. David , N. Posseme , R. Bouyssou et al.
4th Plasma Etch and Strip in Microelectronics Workshop, May 2011, Mechelen, Belgium
Communication dans un congrès hal-00647611 v1

Through cell vias contacts for multijunction solar cells

Olivier Richard , Maité Volatier , Maxime Darnon , Abdelatif Jaouad , Boussairi Bouzazi et al.
11TH INTERNATIONAL CONFERENCE ON CONCENTRATOR PHOTOVOLTAIC SYSTEMS: CPV-11, May 2011, Aix-les-Bains, France. pp.060003, ⟨10.1063/1.4931537⟩
Communication dans un congrès hal-02338413 v1

Challenges and future prospects in plasma etching processes

O. Joubert , E. Pargon , G. Cunge , Maxime Darnon , L. Vallier et al.
3rd International Conference on PLAsma NanoTechnology and Science, Mar 2010, Nagoya,, Japan
Communication dans un congrès hal-00625378 v1

Challenges in sub-100 nm Dual Damascene Etch of Porous Oxycarbosilane Ultra Low-k Dielectrics for BEOL Integration

S. Engelmann , S. Purushothaman , T.J. Frot , Maxime Darnon , M. Lofaro et al.
AVS 57th international symposium, Oct 2010, Albuquerque, United States
Communication dans un congrès hal-00625371 v1

Sidewall Modification of Porous SiOCH Induced by Etching and Post Etching Plasma Treatments

R. Bouyssou , T. Chevolleau , M. El Kodadi , M. Besacier , N. Possémé et al.
3rd Plasma Etch and Strip in Microelectronics Workshop, Mar 2010, Grenoble, France
Communication dans un congrès hal-00625382 v1
Image document

Etching Process Scalability and Challenges for ULK Materials

T. Chevolleau , N. Posseme , T. David , R. Bouyssou , J. Ducote et al.
International Interconnect Technology Conference, IITC, Jun 2010, Dresde, Germany. ⟨10.1109/IITC.2010.5510735⟩
Communication dans un congrès hal-00625314 v1

Synchronous Plasma Pulsing for Etch Applications

Maxime Darnon , C. Petit-Etienne , E. Pargon , G. Cunge , L. Vallier et al.
China Semiconductor Technology International Conference (CSTIC), Mar 2010, Shanghaï, China
Communication dans un congrès hal-00647616 v1

Pulsed plasmas for nanoCMOS and nanoelectronics devices elaboration

E. Pargon , G. Cunge , Maxime Darnon , L. Vallier , P. Bodart et al.
2nd International Conference on Plasma Nanoscience (iPlasma Nano-II), 2010, Bateman's Bay, Australia
Communication dans un congrès hal-00944937 v1

Synchronized pulsed plasmas: potential process improvements for patterning technologies

O. Joubert , G. Cunge , Maxime Darnon , E. Pargon , T. David et al.
63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas, Oct 2010, Paris, France
Communication dans un congrès hal-02338411 v1

Plasma etching processes for nanoCMOS and nanoelectronics devices

E. Pargon , G. Cunge , Maxime Darnon , L. Vallier , P. Bodart et al.
Minatech upstream crossroad, 2010, Grenoble, France
Communication dans un congrès hal-00643917 v1

Synchronous Plasma Pulsing for Etch Applications

Moritz Haass , Maxime Darnon , Erwine Pargon , Camille Petit-Etienne , Laurent Vallier et al.
3rd Plasma Etch and Strip in Microelectronics Workshop, Mar 2019, Grenoble, France
Communication dans un congrès hal-02339989 v1

Pulsed plasma for nanoCMOS and nanoelectronic device elaboration

G. Cunge , E. Pargon , Maxime Darnon , L. Vallier , P. Bodart et al.
The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II), Dec 2010, Sydney, Australia
Communication dans un congrès hal-00643911 v1

Plasma Etching Process Scalability and challenges for ULK Materials

T. Chevolleau , N. Posseme , T. David , R. Bouyssou , J. Ducote et al.
IITC 2010, Jun 2010, Burlingame USA., United States
Communication dans un congrès hal-00623452 v1

Plasma Processes Challenges for Porous SiOCH Patterning in Advanced Interconnects

N. Posseme , T. Chevolleau , T. David , Maxime Darnon , F. Bailly et al.
AVS 57th international symposium, 2010, Albuquerque, United States
Communication dans un congrès hal-00625365 v1

Synchronous Plasma Pulsing for silicon Etch Applications

Maxime Darnon , C. Petit-Etienne , E. Pargon , G. Cunge , L. Vallier et al.
China Semiconductor Technology International Conference (CSTIC), 2010, Shangai, China
Communication dans un congrès hal-00643921 v1

Molecularly Reinforced Sol-gel Glasses: Preparation, Characterization and Integration Studies

W. Volksen , G. Dubois , T. Magbitang , V. Lee , R.D. Miller et al.
Material Research Society Spring Meeting, Nov 2010, United States
Communication dans un congrès hal-00625377 v1

Plasma Pulsing for Atomic Layer Etching Application

P. Bodart , C. Petit-Etienne , G. Cunge , L. Vallier , Maxime Darnon et al.
3rd Plasma Etch and Strip in Microelectronics Workshop, Mar 2010, Grenoble, France
Communication dans un congrès hal-00625384 v1

Synchronous Plasma Pulsing For Etch Applications

M. Haass , Maxime Darnon , E. Pargon , C. Petit-Etienne , L. Vallier et al.
AVS 57th international symposium, Oct 2010, Albuquerque, United States
Communication dans un congrès hal-00625368 v1

Synchronized pulsed plasmas: potential process improvements for patterning technologies

M. Haass , Maxime Darnon , E. Pargon , G. Cunge , S. Banna et al.
63rd Gaseous Electronic Conference and 7th International Conference on Reactive Plasmas, Oct 2010, Paris, France
Communication dans un congrès hal-00625370 v1

Reduction of Plasma Induced Silicon-Recess During Gate Over-Etch Using Synchronous Pulsed Plasmas

Maxime Darnon , C. Petit-Etienne , F. Boulard , E. Pargon , L. Vallier et al.
AVS 57th international symposium, Oct 2010, Albuquerque, United States
Communication dans un congrès hal-00625366 v1

Inductively-Coupled Pulsed Plasmas in the Presence of Synchronous Pulsed Substrate Bias for Advanced Gate Etching

S. Banna , A. Agarwal , V. Todorow , S. Rauf , K. Ramaswamy et al.
AVS 56th international symposium, Nov 2009, San José, United States
Communication dans un congrès hal-00461590 v1

Trigate 6T SRAM scaling to 0.06 μm2

M. Guillorn , J. Chang , A. Pyzyna , S. Engelmann , E. Joseph et al.
Proceeding of 2009 International Electron Devices Meeting, IEDM 2009, Dec 2009, San Francisco, United States
Communication dans un congrès hal-00625310 v1

Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping

M. Guillorn , J. Chang , N. Fuller , J. Patel , J. Ott et al.
53rd Iinternational Conference on Electron, Ion, and Photon Beam Technology & Nanoffabrication, 2009, United States
Communication dans un congrès hal-00461591 v1

Plasma Etching Challenges for Porous SiOCH Integration in Advanced Interconnect Levels

T. Chevolleau , T. David , N. Posseme , Maxime Darnon , F. Bailly et al.
30th Symposium on Dry Process (DPS), 2008, tokyo, Japan
Communication dans un congrès hal-00397846 v1

Deposition and Etching of Hexagonal and Cubic Boron Nitride

Maxime Darnon , D. Neumayer , G. Gibson , Y. Zhang
AVS 55th international symposium, October 2008, 2008, United States
Communication dans un congrès hal-00461592 v1

Plasma challenges of porous SiOCH patterning for advanced interconnect levels

T. Chevolleau , T. David , N. Posseme , Maxime Darnon , F. Bailly et al.
55th American Vacuum Society Symposium, 2008, boston, United States
Communication dans un congrès hal-00397847 v1

Porous SiOCH Modification by O2, NH3 and CH4 plasmas Used as ashing and Pore sealing Steps

Maxime Darnon , T. Chevolleau , N. Posseme , T. David , C. Licitra et al.
Proceeding of PESM, Plasma Etch and Strip in Microelectronics (Workshop), 2007, leuven, Belgium
Communication dans un congrès hal-00398871 v1
Image document

Chamber walls coating during patterning of dielectric damascene structures with a metal hard mask: consequence on cleaning strategies

Thierry Chevolleau , Maxime Darnon , Thibaut David , Nicolas Possémé , Olivier Joubert
Plasma Etch and Strip in Microelectronics Workshop, 2007, Detroit, United States
Communication dans un congrès hal-00461598 v1

Ash Plasma Exposure of Hybrid Material (SiOCH and porogen): Comparisaon with Porous SiOCH

Maxime Darnon , T. Chevolleau , L. Vallier , J. Torres , O. Joubert
AVS 54th, 2007, United States
Communication dans un congrès hal-00461593 v1

Chamber Walls Coatings during hard mask patterning of Ultra Low-k Materials: Consequences on Cleaning Strategies

T. Chevolleau , Maxime Darnon , T. David , N. Posseme , J. Torres et al.
AVS 54th international symposium, 2007, United States
Communication dans un congrès hal-00461594 v1

Metallic versus Organic Hard Mask Strategies for Advanced Dielectric Trenches Patterning

T. Chevolleau , Maxime Darnon , T. David , D. Perret , J. Torres et al.
Proceeding of DPS, Symposium on Dry Process, 2007, tokyo, Japan
Communication dans un congrès hal-00399993 v1

Dielectric Trenches Patterning: Metallic Vs Organic Hard Mask

Maxime Darnon , T. Chevolleau , T. David , D. Perret , J. Torres et al.
Proceeding of MAM, Metal Advanced Metallization (Workshop), 2007, bruges, Belgium
Communication dans un congrès hal-00398866 v1

Comprehensive study of metal-fluoride crystals issues with trench first hard mask back end architecture

A. Lagha , L. Broussous , D. Pepper , C. Maurice , N. Cabuil et al.
Surface Preparation and Cleaning Conference, 2007, United States
Communication dans un congrès hal-00461607 v1

Chamber Walls Coatings During Patterning of Dielectric Damascene Structures With a Metallic Hard Mask: Consequences on Cleaning Strategies

T. Chevolleau , Maxime Darnon , T. David , N. Posseme , O. Joubert
Proceeding of PESM, Plasma Etch and Strip in Microelectronics (Workshop), 2007, leuven, Belgium
Communication dans un congrès hal-00398870 v1

Evaluation of ellipsometric porosimetry for in-line characterization of low- dielectrics

C. Licitra , Maxime Darnon , T. Chevolleau , S. Cetre , H. Fontaine et al.
ISCE, 4th International Conference on Spectroscopic Ellipsometry, 2007, stockolm, Sweden
Communication dans un congrès hal-00398872 v1

Ash plasma exposure of hybrid material (SiOCH and porogen)

Maxime Darnon , T. Chevolleau , T. David , L. Vallier , J. Torres et al.
54th International AVS symposium, 2007, seattle, United States
Communication dans un congrès hal-00400650 v1

Profile control and sidewall modifications of narrow porous ULK trenches after plasma etching and pore sealing treatments

Maxime Darnon , Thierry Chevolleau , D. Eon , Fanny Bailly , Bernard Pélissier et al.
53rd International AVS Symposium, 2006, San Francisco, United States
Communication dans un congrès hal-00400479 v1

Critical Dimensions Control of Narrow Low-k Trenches

Maxime Darnon , T. Chevolleau , N. Posseme , T. David , L. Vallier et al.
Materials for Advanced Metallization, 2006, grenoble, France
Communication dans un congrès hal-00461614 v1

Patterning of narrow SiOCH trenches using the late porogen removal process

T. Chevolleau , D. Eon , Maxime Darnon , T. David , L. Vallier et al.
AVS, 53rd International AVS Symposium, 2006, san francisco, United States
Communication dans un congrès hal-00400477 v1

Material Modifications and Surface Roughness during Porous SiOCH Etching Processes

F. Bailly , T. David , A. Jacquier , Maxime Darnon , Christophe Cardinaud
AVS 53rd international symposium, 2006, United States
Communication dans un congrès hal-00461612 v1

Emerging Application of Fluorocarbon Plasmas for Integrated Circuits Fabrication

T. Chevolleau , G. Cunge , Maxime Darnon , R. Ramos , L. Vallier et al.
8th International workshop on fluorocarbon plasma, 2006, autrans, France
Communication dans un congrès hal-00400476 v1

Challenges of Plasma Processes for Advanced ULK Patterning

L. Vallier , T. Chevolleau , O. Joubert , Maxime Darnon , D. Eon et al.
Materials for Advanced Metallization 2006 Conference (MAM 2006), 2006, grenoble, France
Communication dans un congrès hal-00397760 v1

Impact of Hard Mask Composition and Etching Chemistry on Porous Ultra Low-k Material Modification

N. Posseme , T. David , Maxime Darnon , T. Chevolleau , O. Joubert
6th International Conference on Microelectronics and Interfaces, 2005, United States
Communication dans un congrès hal-00649906 v1

Comparison Between Metallic and Inorganic Hard Masks Used for Advanced Porous Low-k Patterning

Maxime Darnon , T. Chevolleau , N. Posseme , T. David , L. Vallier et al.
15th International Colloquium on Plasma Processes, 2005, Autrans, France
Communication dans un congrès hal-00461955 v1

Comparison between metallic and inorganic hard masks used for advanced porous low k patterning

Maxime Darnon , T. Chevolleau , N. Posseme , T. David , L. Vallier et al.
15th International Colloquium on Plasma Processes (CIP), 2005, autrans, France
Communication dans un congrès hal-00398848 v1

A Study of Plasma Treatments Limiting Metal Barrier Diffusion into Porous Low-k Materials

T. David , N. Possémé , T. Chevolleau , Maxime Darnon , O. Louveau et al.
Proceeding of ADMETA, Advanced Metallization Asian Session, 2005, tokyo, Japan
Communication dans un congrès hal-00398855 v1

Etching Mechanisms of Low-k Materials with the Solid FirstTM ILD Process in Fluorocarbon Based Plasma

T. Chevolleau , D. Eon , Maxime Darnon , L. Vallier , O. Joubert
AVS 52nd international symposium, 2005, United States
Communication dans un congrès hal-00461617 v1

Etching of Narrow Porous SiOCH Trenches Using a TiN Metallic Hard Mask

Maxime Darnon , N. Posseme , D. Eon , T. David , T. Chevolleau et al.
AVS 52nd international symposium, Oct 2005, Boston, United States
Communication dans un congrès hal-00647625 v1

Time−Modulation of High density Plasmas for Advanced Dry Etching Processes

S. Banna , A. Agarwal , T. Lill , Maxime Darnon , G. Cunge et al.
4th PESM workshop, May 2001, Belgium
Communication dans un congrès hal-00944972 v1

Solar cell assembly, concentrator photovoltaic assembly and method of assembling same

Gwenaëlle Hamon , Maxime Darnon , Konan Kouame , David Danovich
United States, Patent n° : 63/490,855. 2023
Brevet hal-04664115 v1

Procédé de gravure autolimitant à base de niveaux multiples

O Desplats , T. Chevolleau , M. Darnon , C. Gourgon
France, Patent n° : DD 13 51606. 2013
Brevet hal-01905068 v1

Method of patterning photosensitive material on a substrate containing a latent acid generator

Maxime Darnon , P. P. Joshi , Q. Lin
Patent n° : US 8,475,667. 2013
Brevet hal-00925758 v1

Selective etch back process for carbon nanotubes integration

Maxime Darnon , G.W. Gibson , P.P. Joshi , R.M. Martin , Y. Zang
Patent n° : US 8,449,781. 2013
Brevet hal-00860918 v1

Method of patterning of magnetic tunnel junctions

O. Joubert , B. Schwarz , J. Pereira , K. Menguelti , E. Pargon et al.
Patent n° : US 8,546,263,. 2013
Brevet hal-00925756 v1

Reversing tone of patterns on integrated circuit and nanoscale fabrication

L.A. Clevenger , Maxime Darnon , A. D. Lisi , S. V. Nitta
Patent n° : US 8,183,694. 2012
Brevet hal-00808853 v1

Method for air gap interconnect integration using photo-patternable low k material

L.A. Clevenger , Maxime Darnon , Q. Lin , A. D. Lisi , S. V. Nitta
Patent n° : US 8,241,992. 2012
Brevet hal-00808852 v1

Interconnect structure fabricated without dry plasma etch processing

Maxime Darnon , J. P. Gambino , E. E. Huang , Q. Lin
Patent n° : US 8,298,937. 2012
Brevet hal-00808851 v1

Process for reversing tone of patterning on integrated circuit and structural process for nanoscale fabrication

L.A Clevenger , Maxime Darnon , A.D Lisi , S.N. Nitta
Patent n° : US 2011/0108989 A1 US7939446. 2011
Brevet hal-00647704 v1

Forming Interconnects with Air Gaps

S.S. Choi , L.A Clevenger , Maxime Darnon , D.C. Edelstein , S.V. Nitta et al.
Patent n° : US7,790,601B1 12/561,651. 2010
Brevet hal-00647715 v1

Plasma Etch Challenges for Gate Patterning

Maxime Darnon , Nicolas Posseme
Plasma Etching Processes for CMOS Devices Realization, Elsevier, pp.95-118, 2017, ⟨10.1016/B978-1-78548-096-6.50004-3⟩
Chapitre d'ouvrage hal-02338426 v1

Patterning Challenges in Microelectronics

Sebastien Barnola , Nicolas Posseme , Stefan Landis , Maxime Darnon
Plasma Etching Processes for CMOS Devices Realization, Elsevier, pp.59-94, 2017, ⟨10.1016/B978-1-78548-096-6.50003-1⟩
Chapitre d'ouvrage hal-02338425 v1

Plasma Etching in Microelectronics

Maxime Darnon
Plasma Etching Processes for CMOS Devices Realization, Elsevier, pp.23-58, 2017, ⟨10.1016/B978-1-78548-096-6.50002-X⟩
Chapitre d'ouvrage hal-02338424 v1

Interconnects for Tomorrow

Maxime Darnon , Nicolas Posseme
Plasma Etching Processes for Interconnect Realization in VLSI, Elsevier, pp.77-91, 2015, ⟨10.1016/B978-1-78548-015-7.50004-4⟩
Chapitre d'ouvrage hal-02339235 v1

Introduction

Nicolas Posseme , Maxime Darnon
Plasma Etching Processes for Interconnect Realization in VLSI, Elsevier, pp.1-13, 2015, ⟨10.1016/B978-1-78548-015-7.50001-9⟩
Chapitre d'ouvrage hal-02339199 v1

Interaction Plasma/Dielectric

Nicolas Posseme , Maxime Darnon , Thierry Chevolleau , Thibaut David
Plasma Etching Processes for Interconnect Realization in VLSI, Elsevier, pp.15-43, 2015, ⟨10.1016/B978-1-78548-015-7.50002-0⟩
Chapitre d'ouvrage hal-02339227 v1

Porous SiOCH Film Integration

Nicolas Posseme , Maxime Darnon , Thibaut David , Thierry Chevolleau
Plasma Etching Processes for Interconnect Realization in VLSI, Elsevier, pp.45-76, 2015, ⟨10.1016/B978-1-78548-015-7.50003-2⟩
Chapitre d'ouvrage hal-02339231 v1